Способы управления триггерами
Поможем в ✍️ написании учебной работы
Поможем с курсовой, контрольной, дипломной, рефератом, отчетом по практике, научно-исследовательской и любой другой работой

Введение

 

В отличие от комбинационных схем (КС) значения выходных сигналов последовательностных схем (ПС) в данный момент времени зависят не только от значений входных сигналов в этот же момент времени, но и от их предыдущих значений. Из этого следует, что ПС реализует функциональную связь уже не между отдельными значениями входных и выходных сигналов, а между их последовательностями. Поэтому, в отличии от КС, работу ПС следует рассматривать во времени.

Для того, чтобы значения выходных сигналов зависели от предыдущих значений входных, ПС должны обладать памятью, в которой сохраняется информация о предыдущих входных воздействиях. Эта информация используется в ПС в виде совокупности сигналов, вырабатываемых памятью.

Особое значение при изучении последовательностных схем имеют элементы памяти – триггеры.

 



Триггеры

 

Триггеры – это логические устройства с памятью, которые способны длительно оставаться в одном из двух возможных устойчивых состояний и скачком чередовать их под действием внешних сигналов.

 

Триггерная ячейка

 

Основу триггеров составляют простейшие запоминающие ячейки, представляющие собой симметричную структуру из двух логических элементов ИЛИ-НЕ либо И-НЕ, охваченных перекрёстной обратной связью:

 

Рис.1.1 Принципы построения триггерных ячеек и их условные обозначения.

 

Независимо от того, какую функцию выполняют логические элементы И-НЕ либо ИЛИ-НЕ, ячейки могут находиться в двух устойчивых состояниях: 1 и 0. Состоянию 1 соответствует единичный сигнал на выходе Q, состоянию 0 соответствует единичный сигнал на выходе .

Вход, по которому ячейка устанавливается в состояние 1, обозначается буквой S, а в состоянии 0 – буквой R.

Когда на обоих информационных кодах существуют логические нули (S=R=0), сигналы на выходе могут иметь одно из двух сочетаний: Q=1, =0 либо Q=0, =1, так как каждый логический элемент ИЛИ-НЕ инвертирует входные сигналы, а переключающим сигналом служит единица. Допустим, что Q=1. Этот сигнал, действуя на входе нижнего элемента создаёт на его выходе =0. В свою очередь, на входах верхнего элемента два нулевых сигнала – со входа R и с выхода , обеспечивает Q=1. Состояние это устойчивое.

Если на один из входов подать единичный сигнал, сохраняя нулевой на другом, триггер примет состояние, которое однозначно определяется входной информацией. При входных сигналах S=1, R=0 триггер принимает единичное состояние Q=1, =0, а при S=0, R=1 – нулевое: Q=0, =1. При появлении управляющего сигнала на одном из входов происходит либо опрокидывание триггера, либо подтверждение существующего состояния, если оно совпадает с требуемым.

Если одновременно подать переключающие сигналы на оба входа (S=R=1), на обоих выходах появятся логические нули (Q= =0) и устройство утратит свойства триггера. Поэтому комбинацию S=R=1 называют неопределённой (н/о).

Переход от неопределённой комбинации к нейтральной (S=R=0) называют запрещенной комбинацией, так как состояние выходов при этом восстанавливается, но с равной вероятностью оно может стать единичным, так и нулевым, т.е. ведёт к непредсказуемому поведению триггера.

Триггер, который переключается сигналами логической единицы, т.е. на логических элементах ИЛИ-НЕ, называют триггером с прямым управлением (RS-триггер).

Триггер, который переключается сигналами логического нуля, т.е. на логических элементах И-НЕ, называют триггером с инверсными входами ( -триггер). Для такого триггера неопределённая комбинация (н/о): S=R=0.

 

Триггерные системы

 

Триггер представляет, как правило, систему, состоящую из триггерной ячейки, играющей роль ячейки памяти (ЯП), и устройство управления (УУ):

 

Рис.1.2 Триггерная система:

Q,  – внешние выходы;

A, B – информационные (логические) входы;

V – подготовительный вход (предустановка);

С – тактовый вход;

S’, R’ – внутренние входы ячейки памяти;

Sa, Ra – внешние входы ячейки памяти.

 

Устройство управления – это комбинационное устройство, преобразующее входную информацию в комбинацию сигналов, под воздействием которых триггерная ячейка принимает одно из двух устойчивых состояний.

Изменяя схему устройства управления и способы связи её с триггерной ячейкой, можно получить триггеры с разными функциональными свойствами.



Асинхронный RS-триггер

 

У асинхронных триггеров имеются только информационные (логические) входы (т.е. отсутствует устройство управления). Они срабатывают непосредственно за изменением сигналов на входах. Триггерные ячейки на элементах И-НЕ либо ИЛИ-НЕ являются асинхронными RS-триггерами.

 

Синхронный RS-триггер

 

У синхронных триггеров смены сигналов на входах ещё недостаточно для срабатывания. Необходим дополнительный командный импульс, который подаётся на синхронизирующий (тактовый) вход. Это обеспечивается устройством управления, которое связывает каждый из информационных входов с тактовым логической операцией И. Поэтому информация с выводов S и R может быть передана на триггерную ячейку только при С=1:

 

Рис.1.3 Синхронный RS-триггер

 

Когда С=0, q1=q2=1, что является нейтральной комбинацией для триггерной ячейки, которая хранит записанную информацию, а состояние входов S и R безразлично. С приходом тактового импульса (С=1) триггер изменяет своё состояние или остаётся в прежнем в соответствии с входными сигналами S и R.

Входная комбинация S=R=1 недопустима, так как при С=1 создается недопустимое состояние на выходах Q==1.

Синхронный RS-триггер на элементах ИЛИ-НЕ:

 

Рис.1.4 Синхронный RS-триггер

 

Отличие состоит в способе управления: переброс триггера осуществляется сигналами S=0, R=0 при С=0, т.е. нулевыми логическими уровнями.

 

JK-триггер

 

В схемном отношении JK-триггеры отличаются от триггеров RS-типа наличием обратной связи с выходов на входы:

 

Рис.1.5 JK-триггер.


Из схемы следует, что состояние JK-триггера зависит не только от сигналов на входах J и K, но и от логически связанных с ними сигналов  и Q.

Функциональная особенность JK-триггера состоит в том, что при всех входных комбинациях, кроме одной J=K=1, он действует подобно RS-триггеру, причём вход J играет роль входа S, а К-вход соответствует R-входу.

При J=K=0 на выходах элементов 1 и 2 будет q1=q2=1 (независимо от значений сигналов Q и ), а что представляет нейтральную комбинацию для триггерной ячейки, которая хранит записанную ранее информацию. Когда J¹K, выходное состояние триггера будет определяться логическим элементом 1 или 2, на всех входа которого действует логическая 1.

Входная комбинация J=K=1 при любом состоянии триггера вызывает его переброс. Действительно, если Q=1, а =0, то q1=1, a q2=0 (так как K=Q=1). Сигнал q2=0 переключит триггерную ячейку. Переброс будет также иметь место при выходном состоянии Q=0, а =1. В этом случае окажется q1=0, q2=1 и триггерная ячейка опрокинется, принимая противоположное состояние Q=1, а =0.

Таким образом, подобно RS-триггеру, в JK-триггере J и К – это входы установки триггера в единицу или ноль. В отличии от RS-триггеров в JK-триггере наличие двух единичных управляющих сигналов (J=K=1) приводит к переходу триггера в противоположное состояние. Причём, начиная с момента опрокидывания триггера, управляющее действие сигналов на входах J и К прекращается, так как изменяются сигналы на выходах логических элементов 1 и 2 (q1, q2).

 




D-триггер

 

D-триггеры в отличии от рассмотренных типов имеют для установки в состояние 1 и 0 один информационный вход (D-вход). Это триггер задержки и при разрешающем сигнале на тактовом входе устанавливается в состояние, соответствующее потенциалу на входе D.

Логическая структура синхронного D-триггера со статическим управлением:

 

Рис.1.6 D-триггер

 

В паузах между тактовыми импульсами логические элементы 1 и 2 схемы управления закрыты и на их выходах существуют сигналы q1=q2=1, что служит нейтральной комбинацией для триггерной ячейки.

Для получения -триггера элементы И-НЕ заменяют на ИЛИ-НЕ:

 

Рис.1.7 -триггер.


Для синхронизации такого триггера требуются тактовые импульсы нулевого уровня, а в паузах между этими импульсами на входе С должна быть логическая единица.

D-триггер можно преобразовать из любого синхронного RS - или JK-триггера, если на их информационные входы одновременно подавать взаимно инверсные сигналы D и :

 

Рис.1.8 Преобразование JK-триггера в D-триггер.

 


DV-триггер

 

DV-триггеры представляют собой модификацию D-триггеров:

 

Рис.1.9 DV-триггер.

 

Их логические функции определяются наличием дополнительного разрешающего входа V, играющего роль разрешающего по отношению ко входу D. Когда V=1, триггер функционирует как D-триггер, а при V=0 он переходит в режим хранения информации независимо от смены сигналов на входе D. Записанная в D-триггер информация не может храниться более одного такта: с каждым тактовым импульсом состояние триггера обновляется. Наличие V-входа расширяет функциональные возможности D-триггера, позволяя в нужные моменты времени сохранять информацию на выходах в течении требуемого числа тактов.

Запись информации в этих триггерах происходит, когда С=1 и V=1. Поэтому в DV-триггер можно обратить всякий тактируемый D-триггер, добавив V-вход и логически связав его операцией И с управляющим С-входом. Сигналы С=1 и V=1 должны действовать в одно время. Поскольку вход V – подготавливающий, сигнал V=1 должен перекрывать по длительности оба фронта тактового импульса.

 

Т-триггер

 

Т-триггер, или счётный триггер, имеет один информационный Т-вход. Смена состояний здесь происходит всякий раз, когда входной сигнал меняет своё значение в определенном направлении.

Т-триггер – единственный вид триггера, текущее состояние которого определяется не информацией на входах, а состоянием его в предыдущем такте.

Принцип построения счетных триггеров состоит во введении обратной связи с выхода на входы так, чтобы обеспечить смену сигналов на информационных входах после каждого переброса.

Т=триггер может быть сконструирован из синхронного RS-триггера посредством введения дополнительной обратной связи между R,S-входами и Q, -выходами.


Рис.1.10 Преобразование синхронного RS-триггера в Т-триггер

 

Наибольшее распространение нашли двухступенчатые структуры, а также Т-триггеры с динамическим управлением.

Т-триггер можно построить из двух D-триггеров.

 

Рис.1.11 Принцип построения Т-триггера из двух D-триггеров

 

Каждый перепад 1,0 на тактовом входе приводит к переходу триггера в противоположное состояние. Когда, например, триггер устанавливается в состояние Q=1, на его входе D появляется сигнал =0. Поэтому очередной тактовый импульс переводит триггер в новое состояние.

Счётный триггер можно получить из универсального JK-триггера:

 

Рис.1.12. Принцип построения асинхронного (а) и синхронного (б) Т-триггера из универсального JK-триггера.


В асинхронном режиме тактовый вход исполняет роль счётного, а в синхронном – тактовый вход используется по прямому назначению, а счётные импульсы подаются на соединённые входы J и К. Таким образом, Т-триггер можно рассматривать как частный случай синхронного JK-триггера, у которого отсутствуют информационные входы и срабатывание происходит под действием тактовых импульсов.

 



TV-триггер

 

TV-триггер кроме счётного входа Т имеет второй, управляющий, V-вход для разрешения приёма информации. TV-триггер называют тактируемым или синхронным счётным триггером. Его получают, например, из JK-триггера.

 

Рис.1.13. Преобразование JK-триггера в асинхронный TV-триггер.

 

Счётчики

 

Счётчиком называется устройство, сигнал на выходе которого в определённом коде отображает число импульсов, поступающих на счётный вход.

Т-триггер, например, может считать до двух. Счётчик, образованный цепочкой из m триггеров, сможет считать в двоичном коде до 2m импульсов.

Каждый из триггеров такой цепочки называют разрядом счётчика. Число m определяет количество разрядов двоичного числа, которое может быть записано в счётчик.

Число Ксч=2m называют коэффициентом (модулем) счёта или ёмкостью счётчика.

 

Синтез синхронного счётчика

 

Порядок синтеза рассмотрим на примере двоично-десятичного счётчика, работающего в коде 8-4-2-1.

Сначала синтезируют таблицу кодовых комбинаций соответствующих различным состояниям этого счётчика. Переход от одного состояния к другому осуществляется под воздействием счётных импульсов n, поступающих одновременно на тактовые входы всех четырёх триггеров.

К приходу очередного счётного импульса на управляющих входах триггеров должны существовать сигналы, обеспечивающие срабатывание только тех триггеров, которые должны изменить своё состояние при переходе к следующей кодовой комбинации.

Таким образом, для каждой кодовой группы, характеризующей состояние счётчика, необходимо найти сигналы на управляющих входах триггеров, обеспечивающих переход к следующей кодовой группе.

Пусть, этот счётчик требуется построить на JK-триггерах, которые работают так, что:

Если такой триггер должен перейти из нуля в единицу, то к приходу счётного импульса на тактовый вход нужно обеспечить J=1, сигнал на входе К не влияет при этом на поведение триггера и может быть либо 0, либо 1, т.е. К=Х;

Если триггер должен опрокинуться из единицы в ноль, следует к приходу счётного импульса установить К=1, J=Х (сигнал на входе J не влияет при этом на поведение триггера);

Если же требуется сохранить состояние триггера “единица”, то необходимо к приходу счётного импульса установить К=0, J=X;

Если триггер должен остаться в состоянии ноль, то нужно обеспечить J=0, K=X.

В таблице указывают значения сигналов, которые должны быть поданы на управляющие JK-входы триггеров, для того чтобы обеспечить переход от данного состояния счётчика к последующему.

 

Таблица 2.2

Кодовые комбинации

счетный импульс

Состояние

счетчика

Значения сигналов на управляющих входах триггеров

Q4 Q3 Q2 Q1

4

3

2

1

n         J4 K4 J3 K3 J2 K2 J1 K1
0 0 0 0 0 0 x 0 x 0 x 1 1
1 0 0 0 1 0 x 0 x 1 x 1 1
2 0 0 1 0 0 x 0 x x 0 1 1
3 0 0 1 1 0 x 1 x x 1 1 1
4 0 1 0 0 0 x x 0 0 x 1 1
5 0 1 0 1 0 x x 0 1 x 1 1
6 0 1 1 0 0 x x 0 x 0 1 1
7 0 1 1 1 1 x x 1 x 1 1 1
8 1 0 0 0 x 0 0 x 0 x 1 1
9 1 0 0 1 x 0 0 x 1 x 1 1
10 0 0 0 0                

 


Из анализа кодовых комбинаций для первого триггера видно, что он работает в режиме простого деления на два. Такой режим работы обеспечивается при J1=1, K1=1.

Данные из таблицы переносят на карты Карно с тем, чтобы провести минимизацию функции, определяющей каждый из управляющих сигналов триггеров, и затем составит логическую цепь, реализующую полученную функцию.

В этих картах по шесть клеток не заполнены: эти клетки соответствуют неиспользованным кодовым комбинациям. Совокупность четырех триггеров может находиться в одном из шестнадцати состояний (24=16), из которых в счётчике используются десять.

 

Карты Карно для управляющих сигналов:

K2: J2:

Q2Q1 Q4Q3   00   01   11   10   Q2Q1 Q4Q3   00   01   11   10
00 X X 1 0   00 0 1 X X
01 X X 1 0   01 0 1 X X
11           11        
10 X X       10 0 1    

 

K2 = Q1 J2 = Q1 4

K3: J3:

Q2Q1 Q4Q3   00   01   11   10   Q2Q1 Q4Q3   00   01   11   10
00 X X X 0   00 0 0 1 0
01 0 0 1 0   01 X X X X
11           11        
10 X X       10 0 0    

 


K3 = Q1Q2 J3 = Q1Q2

K4: J4:

Q2Q1 Q4Q3   00   01   11   10   Q2Q1 Q4Q3   00   01   11   10
00 X X X 0   00 0 0 0 0
01 X X X X   01 0 0 1 0
11           11        
10 0 0       10 0 0    

K4 = 0 J4 = Q1Q2Q3

Рис 2.3 Карты Карно для управляющих сигналов.

 

Часть клеток 1 таблице заполнена символом Х, что означает, что минимизируемая функция может при данном наборе аргументов Q1–Q4, принимать любое значение – 0 или 1. Определённые значения функции в таблице заменены 0 или 1.

Особенностью минимизации логических функций, значение которых при определённых наборах аргументов не играет роли (не заполненные клетки и клетки с символом Х), является то, что при проведении в картах контуров, охватывающих единицы, можно включать в эти контуры также и клетки, в которых функция не определена.

 

Рис.2.4 Функциональная схема двоично-десятичного счётчика.


Для указанных в таблице контуров:

K2 = Q1J2 = Q1 4

K3 = Q1Q2J3 = Q1Q2

K4 = 0J4 = Q1Q2Q3

Функциональная схема счётчика синтезируется в соответствии с полученными логическими функциями.

Аналогичным образом проводят синтез счётчиков на других типах триггеров тактируемых фронтом импульса и с другими коэффициентами пересчёта. Различие будет заключаться в сигналах, обеспечивающих нужные переходы или сохранение состояний триггеров.

 




Регистры

 

Назначение регистров – хранение и преобразование многоразрядных двоичных чисел.

Они используются в качестве управляющих и запоминающих устройств, генераторов и преобразователей кодов, счётчиков, делителей частоты, узлов временной задержки.

Регистры строят на синхронных D-триггерах или на RS(JK) - триггерах с динамическим или статическим управлением.

Одиночный триггер может запоминать (регистрировать) один разряд (бит) двоичной информации. Поэтому триггер можно считать одноразрядным регистром.

Занесение информации в регистр называют операцией ввода или записи. Запись информации в регистр не требует его предварительного обнуления.

Выдача информации к внешним устройствам характеризует операцию вывода или считывания.

В схемы регистров входят комбинационные элементы, роль которых вспомогательная: для выполнения операций “гашение” (Уст.0), “приём”, “вывода”, “преобразование” (из прямого кода в обратный и наоборот).

Регистры в зависимости от функциональных свойств бывают:

накопительные (регистры памяти, хранения);

сдвигающие.

Сдвигающие регистры делятся

по способу вводы и вывода информации на параллельные, последовательные и комбинационные (параллельно-последовательные и последовательно-параллельные);

по направлению передачи (сдвига) информации на однонаправленные и реверсивные.



Регистры сдвига

 

Сущность сдвига состоит в том, что с приходом каждого тактового импульса происходит перезапись (сдвиг) содержимого триггера каждого разряда в соседний разряд без изменения порядка следования единиц и нулей.

При сдвиге информации вправо после каждого тактового импульса бит из более старшего разряда сдвигается в младший, а при сдвиге влево – наоборот.

Регистры сдвига, помимо операции хранения, осуществляет преобразование последовательного двоичного кода в параллельный, а параллельного – в последовательный, выполняют арифметические и логические операции, служат в качестве цифровых элементов временной задержки.

Регистры сдвига строят на синхронных двухступенчатых RS-, JK-триггерах или на асинхронных JK-, D-триггерах с динамическим управлением записью. Внутренняя организация таких триггерных схем предусматривает разделение во времени этапов приёма входной информации и смены выходной. В них по переднему фронту синхронизирующего сигнала происходит приём информации, а по заднему – изменение состояния.

Рассмотрим работу четырёхразрядного регистра с последовательным вводом входной информации и сдвигом её вправо. В регистре применены RS(JK) - триггеры, а первый их них при помощи инвертора преобразован в D-триггер. Схема напоминает схему счётчика с параллельным переносом, но поскольку здесь применены не Т-триггеры, а RS(JK) - триггеры, то обеспечивается не счёт, а перенос (сдвиг) импульсов, поступающих на вход.

Допустим, что в регистр последовательно вводится, начиная с младшего разряда, двоичный код 1101, который поступает от внешнего устройства синхронно с тактовыми импульсами.

С первым тактовым импульсом в регистр DD1 будет записана единица младшего разряда. Со следующим тактовым импульсом эта единица будет сдвинута в триггер DD2 и окажется на его выходе. Одновременно в первый триггер поступит ноль (следующий разряд кода). Таким же образом будут происходить сдвиги с выхода Q2 на вход DD3 и с Q3 в DD4. После четырёх тактовых импульсов код на выходах Q4–Q1 будет соответствовать коду 1101 и может быть считан внешним устройством. Таким образом, регистр преобразует последовательный код в параллельный.

 

Рис.3.3 Четырёхразрядный сдвигающий регистр с последовательным вводом.

 

После очередного тактового импульса (пятого) информационный сигнал, бывший на выходе последнего триггера, выводится из регистра и пропадает.

На выходе Q4 каждый сигнал появляется через четыре такта, считая с момента подачи его на вход. Это свойство регистра сдвига часто используют для задержки цифровой информации на заданное число тактовых периодов.



Литература

 

1. Зельдин Е.А. Цифровые интегральные микросхемы в информационно-измерительной аппаратуре. – Л.: Энергоатомиздат. Ленингр. отд-ние, 1986. – 280 с.

2. Каган Б.Н. Электронные вычислительные машины и системы: Учеб. пособие для вузов. – 2-е изд., перераб. и доп. – М.: Энергоатомиздат, 1985. – 552 с.

3. Проектирование импульсных и цифровых устройств радиотехнических систем: Учеб. пособие для радиотехн. спец. вузов / Гришин Ю.П., Казаринов Ю.М., Катиков В.М. и др.; Под редакцией Ю.М. Казаринова. – М.: Высшая школа, 1985. – 319 с.

4. Программное обеспечение микроЭВМ: Практическое пособие для инж.-пед. работников системы проф. -техн. образования. В 11кн. / Под редакцией В.Ф. Шаньгина. Кн.1. Структура и функционирование микроЭВМ. А.Е. Костин. – М.: Высшая школа, 1987. – 95 с.

5. Савельев А.Я. Прикладная теория цифровых автоматов: Учебник для вузов по специальности Эвм. – М.: Высшая школа, 1987. – 272 с.

6. Шило В.А. Популярные цифровые микросхемы: Справочник. – Челябинск: Металлургия, Челябинское отделение, 1988. – 352 с.

7. Микропроцессоры и микропроцессорные комплекты интегральных микросхем: Справочник: В 2 Т. / Н.Н. Аверьянов, А.И. Березенко, Ю.И. Борщенко и др.; Под редакцией В.А. Шехнова. – М.: Радио и связь, 1988. – Т.2. – 368 с.

Введение

 

В отличие от комбинационных схем (КС) значения выходных сигналов последовательностных схем (ПС) в данный момент времени зависят не только от значений входных сигналов в этот же момент времени, но и от их предыдущих значений. Из этого следует, что ПС реализует функциональную связь уже не между отдельными значениями входных и выходных сигналов, а между их последовательностями. Поэтому, в отличии от КС, работу ПС следует рассматривать во времени.

Для того, чтобы значения выходных сигналов зависели от предыдущих значений входных, ПС должны обладать памятью, в которой сохраняется информация о предыдущих входных воздействиях. Эта информация используется в ПС в виде совокупности сигналов, вырабатываемых памятью.

Особое значение при изучении последовательностных схем имеют элементы памяти – триггеры.

 



Триггеры

 

Триггеры – это логические устройства с памятью, которые способны длительно оставаться в одном из двух возможных устойчивых состояний и скачком чередовать их под действием внешних сигналов.

 

Триггерная ячейка

 

Основу триггеров составляют простейшие запоминающие ячейки, представляющие собой симметричную структуру из двух логических элементов ИЛИ-НЕ либо И-НЕ, охваченных перекрёстной обратной связью:

 

Рис.1.1 Принципы построения триггерных ячеек и их условные обозначения.

 

Независимо от того, какую функцию выполняют логические элементы И-НЕ либо ИЛИ-НЕ, ячейки могут находиться в двух устойчивых состояниях: 1 и 0. Состоянию 1 соответствует единичный сигнал на выходе Q, состоянию 0 соответствует единичный сигнал на выходе .

Вход, по которому ячейка устанавливается в состояние 1, обозначается буквой S, а в состоянии 0 – буквой R.

Когда на обоих информационных кодах существуют логические нули (S=R=0), сигналы на выходе могут иметь одно из двух сочетаний: Q=1, =0 либо Q=0, =1, так как каждый логический элемент ИЛИ-НЕ инвертирует входные сигналы, а переключающим сигналом служит единица. Допустим, что Q=1. Этот сигнал, действуя на входе нижнего элемента создаёт на его выходе =0. В свою очередь, на входах верхнего элемента два нулевых сигнала – со входа R и с выхода , обеспечивает Q=1. Состояние это устойчивое.

Если на один из входов подать единичный сигнал, сохраняя нулевой на другом, триггер примет состояние, которое однозначно определяется входной информацией. При входных сигналах S=1, R=0 триггер принимает единичное состояние Q=1, =0, а при S=0, R=1 – нулевое: Q=0, =1. При появлении управляющего сигнала на одном из входов происходит либо опрокидывание триггера, либо подтверждение существующего состояния, если оно совпадает с требуемым.

Если одновременно подать переключающие сигналы на оба входа (S=R=1), на обоих выходах появятся логические нули (Q= =0) и устройство утратит свойства триггера. Поэтому комбинацию S=R=1 называют неопределённой (н/о).

Переход от неопределённой комбинации к нейтральной (S=R=0) называют запрещенной комбинацией, так как состояние выходов при этом восстанавливается, но с равной вероятностью оно может стать единичным, так и нулевым, т.е. ведёт к непредсказуемому поведению триггера.

Триггер, который переключается сигналами логической единицы, т.е. на логических элементах ИЛИ-НЕ, называют триггером с прямым управлением (RS-триггер).

Триггер, который переключается сигналами логического нуля, т.е. на логических элементах И-НЕ, называют триггером с инверсными входами ( -триггер). Для такого триггера неопределённая комбинация (н/о): S=R=0.

 

Триггерные системы

 

Триггер представляет, как правило, систему, состоящую из триггерной ячейки, играющей роль ячейки памяти (ЯП), и устройство управления (УУ):

 

Рис.1.2 Триггерная система:

Q,  – внешние выходы;

A, B – информационные (логические) входы;

V – подготовительный вход (предустановка);

С – тактовый вход;

S’, R’ – внутренние входы ячейки памяти;

Sa, Ra – внешние входы ячейки памяти.

 

Устройство управления – это комбинационное устройство, преобразующее входную информацию в комбинацию сигналов, под воздействием которых триггерная ячейка принимает одно из двух устойчивых состояний.

Изменяя схему устройства управления и способы связи её с триггерной ячейкой, можно получить триггеры с разными функциональными свойствами.



Асинхронный RS-триггер

 

У асинхронных триггеров имеются только информационные (логические) входы (т.е. отсутствует устройство управления). Они срабатывают непосредственно за изменением сигналов на входах. Триггерные ячейки на элементах И-НЕ либо ИЛИ-НЕ являются асинхронными RS-триггерами.

 

Синхронный RS-триггер

 

У синхронных триггеров смены сигналов на входах ещё недостаточно для срабатывания. Необходим дополнительный командный импульс, который подаётся на синхронизирующий (тактовый) вход. Это обеспечивается устройством управления, которое связывает каждый из информационных входов с тактовым логической операцией И. Поэтому информация с выводов S и R может быть передана на триггерную ячейку только при С=1:

 

Рис.1.3 Синхронный RS-триггер

 

Когда С=0, q1=q2=1, что является нейтральной комбинацией для триггерной ячейки, которая хранит записанную информацию, а состояние входов S и R безразлично. С приходом тактового импульса (С=1) триггер изменяет своё состояние или остаётся в прежнем в соответствии с входными сигналами S и R.

Входная комбинация S=R=1 недопустима, так как при С=1 создается недопустимое состояние на выходах Q==1.

Синхронный RS-триггер на элементах ИЛИ-НЕ:

 

Рис.1.4 Синхронный RS-триггер

 

Отличие состоит в способе управления: переброс триггера осуществляется сигналами S=0, R=0 при С=0, т.е. нулевыми логическими уровнями.

 

JK-триггер

 

В схемном отношении JK-триггеры отличаются от триггеров RS-типа наличием обратной связи с выходов на входы:

 

Рис.1.5 JK-триггер.


Из схемы следует, что состояние JK-триггера зависит не только от сигналов на входах J и K, но и от логически связанных с ними сигналов  и Q.

Функциональная особенность JK-триггера состоит в том, что при всех входных комбинациях, кроме одной J=K=1, он действует подобно RS-триггеру, причём вход J играет роль входа S, а К-вход соответствует R-входу.

При J=K=0 на выходах элементов 1 и 2 будет q1=q2=1 (независимо от значений сигналов Q и ), а что представляет нейтральную комбинацию для триггерной ячейки, которая хранит записанную ранее информацию. Когда J¹K, выходное состояние триггера будет определяться логическим элементом 1 или 2, на всех входа которого действует логическая 1.

Входная комбинация J=K=1 при любом состоянии триггера вызывает его переброс. Действительно, если Q=1, а =0, то q1=1, a q2=0 (так как K=Q=1). Сигнал q2=0 переключит триггерную ячейку. Переброс будет также иметь место при выходном состоянии Q=0, а =1. В этом случае окажется q1=0, q2=1 и триггерная ячейка опрокинется, принимая противоположное состояние Q=1, а =0.

Таким образом, подобно RS-триггеру, в JK-триггере J и К – это входы установки триггера в единицу или ноль. В отличии от RS-триггеров в JK-триггере наличие двух единичных управляющих сигналов (J=K=1) приводит к переходу триггера в противоположное состояние. Причём, начиная с момента опрокидывания триггера, управляющее действие сигналов на входах J и К прекращается, так как изменяются сигналы на выходах логических элементов 1 и 2 (q1, q2).

 




D-триггер

 

D-триггеры в отличии от рассмотренных типов имеют для установки в состояние 1 и 0 один информационный вход (D-вход). Это триггер задержки и при разрешающем сигнале на тактовом входе устанавливается в состояние, соответствующее потенциалу на входе D.

Логическая структура синхронного D-триггера со статическим управлением:

 

Рис.1.6 D-триггер

 

В паузах между тактовыми импульсами логические элементы 1 и 2 схемы управления закрыты и на их выходах существуют сигналы q1=q2=1, что служит нейтральной комбинацией для триггерной ячейки.

Для получения -триггера элементы И-НЕ заменяют на ИЛИ-НЕ:

 

Рис.1.7 -триггер.


Для синхронизации такого триггера требуются тактовые импульсы нулевого уровня, а в паузах между этими импульсами на входе С должна быть логическая единица.

D-триггер можно преобразовать из любого синхронного RS - или JK-триггера, если на их информационные входы одновременно подавать взаимно инверсные сигналы D и :

 

Рис.1.8 Преобразование JK-триггера в D-триггер.

 


DV-триггер

 

DV-триггеры представляют собой модификацию D-триггеров:

 

Рис.1.9 DV-триггер.

 

Их логические функции определяются наличием дополнительного разрешающего входа V, играющего роль разрешающего по отношению ко входу D. Когда V=1, триггер функционирует как D-триггер, а при V=0 он переходит в режим хранения информации независимо от смены сигналов на входе D. Записанная в D-триггер информация не может храниться более одного такта: с каждым тактовым импульсом состояние триггера обновляется. Наличие V-входа расширяет функциональные возможности D-триггера, позволяя в нужные моменты времени сохранять информацию на выходах в течении требуемого числа тактов.

Запись информации в этих триггерах происходит, когда С=1 и V=1. Поэтому в DV-триггер можно обратить всякий тактируемый D-триггер, добавив V-вход и логически связав его операцией И с управляющим С-входом. Сигналы С=1 и V=1 должны действовать в одно время. Поскольку вход V – подготавливающий, сигнал V=1 должен перекрывать по длительности оба фронта тактового импульса.

 

Т-триггер

 

Т-триггер, или счётный триггер, имеет один информационный Т-вход. Смена состояний здесь происходит всякий раз, когда входной сигнал меняет своё значение в определенном направлении.

Т-триггер – единственный вид триггера, текущее состояние которого определяется не информацией на входах, а состоянием его в предыдущем такте.

Принцип построения счетных триггеров состоит во введении обратной связи с выхода на входы так, чтобы обеспечить смену сигналов на информационных входах после каждого переброса.

Т=триггер может быть сконструирован из синхронного RS-триггера посредством введения дополнительной обратной связи между R,S-входами и Q, -выходами.


Рис.1.10 Преобразование синхронного RS-триггера в Т-триггер

 

Наибольшее распространение нашли двухступенчатые структуры, а также Т-триггеры с динамическим управлением.

Т-триггер можно построить из двух D-триггеров.

 

Рис.1.11 Принцип построения Т-триггера из двух D-триггеров

 

Каждый перепад 1,0 на тактовом входе приводит к переходу триггера в противоположное состояние. Когда, например, триггер устанавливается в состояние Q=1, на его входе D появляется сигнал =0. Поэтому очередной тактовый импульс переводит триггер в новое состояние.

Счётный триггер можно получить из универсального JK-триггера:

 

Рис.1.12. Принцип построения асинхронного (а) и синхронного (б) Т-триггера из универсального JK-триггера.


В асинхронном режиме тактовый вход исполняет роль счётного, а в синхронном – тактовый вход используется по прямому назначению, а счётные импульсы подаются на соединённые входы J и К. Таким образом, Т-триггер можно рассматривать как частный случай синхронного JK-триггера, у которого отсутствуют информационные входы и срабатывание происходит под действием тактовых импульсов.

 



TV-триггер

 

TV-триггер кроме счётного входа Т имеет второй, управляющий, V-вход для разрешения приёма информации. TV-триггер называют тактируемым или синхронным счётным триггером. Его получают, например, из JK-триггера.

 

Рис.1.13. Преобразование JK-триггера в асинхронный TV-триггер.

 

Способы управления триггерами

 

В зависимости от того, какой параметр входных сигналов используют для записи информации, триггеры подразделяют на три категории:

со статическим управлением (управляемые по уровню входного сигнала);

с динамическим управлением (управляемые по фронту или срезу);

двухступенчатые триггеры.

Триггеры со статическим управлением срабатывают в момент, когда входной сигнал достигает порогового уровня. Это простейший вид управления. Так переключаются все рассмотренные выше триггеры.

Триггеры, тактируемые фронтом, могут быть построены по-разному. Один из широко применяемых при этом приёмов – это построение двухступенчатого триггера на основе двух триггеров, тактируемых импульсом:

 

Рис.1.14. Принцип построения или обозначение D-триггера, тактируемого фронтом.

 

Здесь управляющий вход D2 D-триггера второй ступени соединён с прямым выходом триггера первой ступени Q1. Тактовый сигнал подаётся одновременно на обе ступени, но в триггере второй ступени тактовый вход – инверсный. Поэтому при любом сигнале на тактовом входе (С=0 или С=1) один из двух триггеров не реагируют на сигнал, поступающий на его управляющий вход. Вследствие этого изменение сигнала на D-входе не приводит к непосредственному изменению выходного сигнала Q двухступенчатого триггера. И только в тот момент, когда потенциал С переходит из 1 в 0, возможно изменение выходного сигнала Q. Действительно, при С=1 триггер первой ступени воспринимает информацию со входа D. Когда же С становится равным нулю, триггер второй ступени устанавливается в состояние, соответствующее выходному сигналу триггера первой ступени.

Для построения JK-триггера, который может работать по перепаду на тактовом входе необходимо применить два логических элемента И:

В данном случае S1=J и R1=KQ. Если J=1 и К=0, то при Q=0 получим S1=1, и по окончании первого тактового импульса триггер устанавливается в единицу Q=1. При J=1, K=0 и Q=1 оба входных сигнала триггера первой ступени S1 и R1 равны нулю и весь двухступенчатый триггер не будет менять своего состояния при приходе тактовых импульсов. Таким образом, сигнал 1 на входе J (при К=0) устанавливает триггер в единицу, если тот был в нуле, или не изменяет состояние триггера, если он уже находился в единице. Подобным же образом по отношению к состоянию Q=0 действует сигнал 1 на входе К при J=0.

 

Рис.1.15. Принцип построения и обозначение JK-триггера, тактируемого фронтом.

 

Если же J=К=1, то S1=1, R1=0 при Q=0, или S1=0, R1=1 при Q=1. Вследствие этого единица на обоих управляющих входах триггера J и К приводит к переходу триггера в противоположное состояние при соответствующем фронте сигнала на тактовом входе.

Для построения JK-триггера может быть использован тактируемый фронтом D-триггер и логический элемент И-ИЛИ.


Рис.1.16. Принцип построения JK-триггера, тактируемого фронтом.

 

Для построения JK-триггера, который может работать как по перепадам на тактовом входе, так и по перепадам на входах J и К необходимо применить логический элемент И-ИЛИ и JK-триггер, тактируемый фронтом.

 

Рис.1.17. Принцип построения JK-триггера, тактируемого фронтом, и работающего по перепадам на J и К входах.

 

Для этого триггера справедливо уравнение

C = C1 (J1 + K1Q),

т.е. при тактируемой работе соответствующий фронт на входе С тактируемого JK-триггера будет совпадать с таким же фронтом на входе С1. Если же установить С1=1, то фронты, опрокидывающие триггер, будут определяться по перепадам на входах J1 и К1. При этом опрокидывание триггера будет производиться в соответствии с правилами работы JK-триггера.

Двухступенчатые триггеры содержат две ступени:

первая ступень служи для промежуточной записи входной информации;

вторая – для последующего запоминания и хранения.

Функциональные свойства всей триггерной системы определяются первой ступенью, вторая ступень может быть одинакова для всех случаев: представлять собой синхронный RS-триггер со статическим управлением.

Ввод информации в первую ступень происходит с приходом тактового импульса С1. Вторая ступень в это время блокирована. Перезапись состояния первой ступени во вторую осуществляется с приходом второго импульса С2. В это время происходит обновление информации на выходах Q и .

 

Рис.1.18. Логическая структура двухступенчатого триггера.

 

Управлять двухступенчатым триггером можно не только двумя но и одним тактовым импульсом: запись в первую ступень происходит с приходом тактового импульса 1, 0, как, например, в двухступенчатом RS-триггере.

 

Рис.1. 19. Принцип построения двухступенчатого RS-триггера.

 

До прихода тактового импульса (С=0) триггер первой ступени хранит информацию от предыдущего такта, а триггер второй ступени, на тактовом входе которого действует сигнал 1, открыт и повторяет состояние первого триггера. С приходом тактового импульса С=1 в триггер первой ступени заносится информация со входов S и R. Триггер второй ступени в это время блокирован, так как на его тактовом входе присутствует сигнал 0. С прекращением тактового импульса С=0 запирается (блокируется) триггер первой ступени, а триггер второй ступени открывается и принимает состояние первого триггера.




Счётчики

 

Счётчиком называется устройство, сигнал на выходе которого в определённом коде отображает число импульсов, поступающих на счётный вход.

Т-триггер, например, может считать до двух. Счётчик, образованный цепочкой из m триггеров, сможет считать в двоичном коде до 2m импульсов.

Каждый из триггеров такой цепочки называют разрядом счётчика. Число m определяет количество разрядов двоичного числа, которое может быть записано в счётчик.

Число Ксч=2m называют коэффициентом (модулем) счёта или ёмкостью счётчика.

 

Дата: 2019-05-29, просмотров: 236.