Средства автоматизации проектирования
Поможем в ✍️ написании учебной работы
Поможем с курсовой, контрольной, дипломной, рефератом, отчетом по практике, научно-исследовательской и любой другой работой

Средства автоматизации проектирования

 

Анализ электромагнитной совместимости

 

Легко заметить, что мощность всех перечисленных выше программ в значительной мере определяется встроенными средствами анализа электромагнитной совместимости (ЕМС). В этой связи нельзя не отметить некоторые специализированные программы. Современные тенденции развития цифровой техники диктуют необходимость изменения подхода к этой проблеме. Большинство программ анализа EMC используют модели микрополосковых линий, считающих проводники питания и заземления идеальными, и не учитывают распределение токов в них.

Пионером в данной области выступила компания Sigrity, которая разработала пакет Speed XP. Эта программа использует не упрощенные модели, а численные методы решения электродинамических задач, благодаря чему стало возможным исследование распространения помех по внутренним слоям питания (рис.17.4). Однако, наличие столь мощной математики делает программу почти на порядок дороже продуктов ближайших конкурентов, которые предпринимают попытки реализовать аналогичные методы в своих системах, как например, компания Mentor Graphics.

 

Рис. 4. Анализ помех в слое заземления в пакете Speed 2000.


Из программ, реализующих классический подход к анализу EMC, следует отметить канадскую компанию Quantic EMC предлагающую на рынок продукт Omega PLUS. Этот пакет не является системой проектирования, зато имеет средства импорта проектов из всех вышеперечисленных САПР. Помимо обычного анализа целостности сигналов и перекрестных искажений, здесь могут быть получены спектры и интенсивность излучения платы в заданном диапазоне частот, уровни токов в проводниках, а также интенсивность электрического и магнитного полей над платой.

 


Системы теплового анализа

 

Отдельной задачей проектирования печатных плат является тепловой анализ. Наиболее мощным решением в этой области является программа BETA soft-Board компании Dynamic Soft Analysis. Здесь также имеются интерфейсы импорта проектов из всех выше перечисленных продуктов, богатые библиотеки моделей и материалов. Программа больше подойдет для разработчиков монолитных многомодульных устройств. В процессе расчета могут быть получены температуры отдельных компонентов, карты прогрева плат, градиент температур (рис. 5). Отметим, что программа BETASoft-Board поставляется как штатное средство теплового моделирования для продуктов Mentor Graphics.

 

Рис. 5. Тепловой анализ платы в пакете BETASoft-Board.

 

Другая программа теплового анализа Sauna компании Thermal Solutions позволяет моделировать поведение не только плат, но и блоков и шкафов. Здесь присутствуют обширные библиотеки компонентов и материалов. Имеется специальный графический редактор, позволяющий прорисовывать конфигурацию оборудования. Система дает возможность назначать специальные рабочие циклы с учетом включения и выключения внешних источников питания.

Английская фирма Flomerics предлагает пользователям свой пакет Flotherm, главной особенностью которого является интерфейс, построенный на базе современных интернет-технологий на основе обычного браузера. Программа позволяет моделировать отвод тепла от микросхем, упакованных в современные корпуса PBGA и TBGA, а также позволяет учитывать технологию поверхностного монтажа перевернутых кристаллов (flip-chip). Например, компания National Semiconductor включила в состав своего программного комплекса Webench специальный модуль Webtherm, позволяющий получать цветную карту градиента температур для платы, построенный на базе вычислительного ядра Flomerics.

Из российских программ следует отметить, пожалуй, единственный коммерческий пакет теплового моделирования ТРИАНА (АСОНИКА-Т), разработанный специалистами Сибирского Федерального института (СФУ) и Московского Государственного Института Электроники и Математики (МИЭМ). Пакет предназначен для моделирования стационарных и нестационарных тепловых процессов, протекающих в конструкциях радиоэлектронных средств (РЭС), таких как стоечные конструкции, блоки с регулярной и нерегулярной структурами, печатные узлы, функциональные ячейки, микросборки. В состав пакета входит редактор, позволяющий формировать геометрическую модель исследуемой печатной платы или гибридной интегральной схемы, а также специализированный модуль подготовки тепловых моделей. Имеет ряд функций, недоступных ни в одной западной САПР. Прежде всего, это специальная база данных, содержащая тепловые модели компонентов для различного конструктивного исполнения и способа монтажа. Имеется возможность задания внешних граничных условий. Отдельный модуль позволяет готовить тепловые модели произвольных систем, определенных на уровне графов. Программа имеет интерфейс с современными системами проектирования печатных плат P-CAD 200Х (OrCAD), Protel DXP, Allegro, SPECCTRA, а также старыми, но все еще распространенными в России, версиями P-CAD 4.5-8.7. Кроме того, пакет может обмениваться данными с тепловизионным диагностическим комплексом ТЭРМИД РЭС.

Тепловой анализ конструкции позволяет снизить габариты устройства за счет правильного размещения теплоотводов оптимального размера и избежать перегрева и разрушения участков платы. С помощью анализа методом конечных элементов (FI) можно выявить части, склонные к разрушению из-за разности коэффициентов теплового расширения используемых материалов. Сейчас применяется зачастую порочная практика приближенной оценки объема корпуса устройства и площади поверхности радиаторов исходя из рассеиваемой элементами мощности, что иногда приводит к неоправданному завышению габаритов и веса конструкции. Следует отметить, что полученные с помощью теплового анализа температура отдельных элементов, а также динамика ее изменения в течение рабочего цикла могут быть переданы в специализированные системы анализа механических нагрузок.

 

Системы подготовки производства

 

Важным этапом проектирования печатных плат является подготовка уже разработанного проекта к производству. Под этим подразумевается генерация управляющих файлов для изготовления фотошаблонов, станков для сверления отверстий, оборудования для автоматического тестирования плат и расстановки компонентов. Как правило, все системы проектирования печатных плат имеют встроенные средства генерации таких файлов, тем не менее, имеется ряд задач, которые необходимо выполнять в специально предназначенных для этого продуктах. Большинство проблем связано с получением оптимизированных файлов в формате Gerber для изготовления фотошаблонов.

Одним из наиболее мощных CAM - систем является пакет Genesis 2000 компании PCB Frontline. Эта программа ориентирована на мощные аппаратные платформы, работающие под управлением операционной системы UNIX. Основная особенность пакета Genesis 2000 - высокий уровень автоматизации обработки топологий. Здесь имеются специальные средства верификации и корректировки, которые позволяют увеличить технологичность платы и учесть особенности производства на данном предприятии. Широкий набор интерфейсов импорта/экспорта позволяют обмениваться данными с большинством известных систем проектирования печатных плат.

Достаточно мощной и дорогой программой является CAMMaster, Все функции этого пакета доступны с использованием языка VBA, поэтому интерфейс программы может легко меняться с учетом особенностей технологического цикла конкретного предприятия.

Более доступные по цене, но достаточно мощные средства CAM предлагаются на рынок сразу несколькими производителями. Прежде всего, здесь следует отметить наиболее популярную в России программу CAM350 компании Downstream Technologies. Ранее облегченная версия этого продукта поставлялась с пакетом Accel Eda, поэтому имела схожую с ней идеологию и позволяла загружать проект платы не в виде набора Gerber файлов, а файл PCB с сохранением информации об электрических связях. В качестве основного стандарта обмена данными сейчас принят формат ODB++.

Другая компания Pentalogix, ранее известная как Lavenir, предлагает пользователям линейку продуктов, самым мощным из которых является. Последние версии продуктов Pentalogix предоставляют специалистам все необходимые средства подготовки печатных плат к производству, отличительной особенностью которых является поддержка языка макроскриптов Visual Basic for Application.

Компания Wise предлагает на рынок программу GerbTool. Эта программа хорошо известна пользователям системы проектирования OrCAD, так как долгое время поставляется в составе этого пакета как штатный CAM-модуль. Здесь имеется полный набор инструментов первичной подготовки проектов плат к производству: обработка топологий, генерация файлов сверления и фрезерования, средства верификации и улучшения технологичности.

Нельзя не упомянуть о простой, но эффективной программе CAMtastic компании Altium. Эта программа поставляется бесплатно в качестве штатного CAM-средства совместно с пакетами P-CAD 2000-200X и Protel DXP. В дополнение к обработке формата Gerber, введена качественная поддержка формата ODB++. Появился макрорекордер, позволяющий автоматизировать большинство процедур с помощью специального языка Client Basic.

Компания Valor предлагает собственные решения по автоматизации процесса производства печатных плат Trilogy 5000 и Enterprise 3000. Эти системы позволяют моделировать процесс производства, выявлять наиболее критичные его этапы и оптимизировать, поэтому являются не просто CAM, а скорее ERP-системами (управление производством). Они тесно взаимодействуют с системами проектирования печатных плат и позволяют проанализировать качество размещения компонентов на плате, а также трассировку. Специальные библиотеки Valor Part Library содержат достоверную информацию о большинстве компонентов и позволяют получать реалистичный трехмерный вид платы. Основным форматом обмена данными между различными частями системы является ODB++, который компания Valor разработала и успешно внедряет через организацию OSA (Open Systems Alliance). В будущем на базе формата ODB++ будет разработана спецификация IPC-2581

 

Системы проектирования ПЛИС

 

Другой актуальной задачей проектирования является синтез цифрового устройства для последующей реализации на ПЛИС типа PLD, CPLD, FPGA. В России на долю FPGA-on-Board приходится более 90% проектов. В подобных продуктах функционирование устройства формализуется с помощью одного из языков описания аппаратуры (HDL). Например, VHDL или Verilog. Для простых устройств проект может быть задай и в виде принципиальном схемы. Следует отмстить следующие продукты:

Программа PeakFPGA компании Altium;

Модуль PLD, входящий в состав пакета Protel компании Altium;

Программа FPGA Studio компании Cadence Design Systems;

Программы Fusion/SpeedWave, Fusion/VSCi, Fusion/ViewSim, ViewPLD компании lnnoveda;

Пакет программ System View компании Elanix.

Программа PeakFPGA предназначена для описания проектов на языке VHDL (IEEE 1076-1987 и 1076-1993) и упаковки их в ПЛИС от различных производителей. Поддерживает библиотеки VITAL и Synopsys. Имеющиеся средства моделирования и верификации позволяют производить быстрый поиск ошибок по различным критериям и отладку разрабатываемого устройства.

Для описания проектов в составе пакета Protel используется модуль синтеза логики PLD, использующий язык CUPL, позволяющий описывать логические схемы произвольной комбинацией трех методов: булевыми выражениям, таблицами истинности и методом конечных автоматов. Синтезированное устройство упаковывается в конкретную микросхему, для программирования которой генерируется файл в формате JEDEC.

Большими возможностями обладает программа FPGA Studio, которую Cadence Design Systems предлагает вместо OrCAD Express. Она обеспечивает расширенные возможности синтеза и моделирования логических схем с последующей упаковкой их в ПЛИС ведущих производителей. По возможностям превосходит вышеперечисленные продукты, но и стоит почти на порядок дороже.

Оптимальным решением данной проблемы представляется семейство продуктов Fusion, входящее в состав интегрированного продукта Product Designer. Программа Fusion/SpeedWave позволяет работать на языке VHDL; Fusion/VSCi на языке Vcrilog; View PLD на языке ABEL, Fusion/ViewSim на вентильном уровне. Все ЭТИ программы объединяются в единое целое под управлением модуля IntclliFlow, а в комбинации с упомянутым модулем View Analog предлагают пользователям непревзойденные возможности по разработке сложных иерархических проектов, отдельные части которых используют различные технологии.

Отдельного упоминания стоит пакет Syst emVi ew, также позволяющий моделировать логические схемы и упаковывать их в ПЛИС фирмы Xilinx. Однако способ моделирования проекта здесь принципиально другой эта программа предназначена для моделирования систем на уровне структурных схем. Здесь используются поведенческие модели, позволяющие оценить работоспособность проекта на вентильном, а не на схемотехническом уровне. К достоинствам пакета можно отнести наличие модуля синтеза цифровых фильтров, к недостаткам совместимость лишь с устаревшей версией Xilinx CORE Generator 1.5 Это объясняется тем, что фирма Xilinx переключила свое внимание на имеющий сходные возможности, но более дешевый продукт Simulink 4.0 компании Mathwork, тесно интегрируемый с математической программой Matlab.

Следует отметить, что некоторые фирмы выпускают достаточно развитые бесплатные версии САПР. Например, пакет Max+Plus II Baseline фирмы Alter и др.

В современных САПР поддерживаются как стандартизованные языки описания аппаратуры, такие как VHDL, Verilog HDL, так и языки описания аппаратуры, разработанные компаниями производителями ПЛИС специально для использования только в своих САПР и учитывающие архитектурные особенности конкретных семейств ПЛИС. Примером может служить AHDL (Altera Hardware Description Language), поддерживаемый САПР Max+Plus II и Quartus компании Altera. Кроме того, многие крупные фирмы производители САПР интегральных схем активно включились в процесс создания ПО, поддерживающего ПЛИС различных производителей. Это позволяет проводить разработку алгоритмов, пригодных к реализации на ПЛИС не только разных семейств, но и различных производителей, что облегчает переносимость алгоритма и ускоряет процесс разработки. Примером таких систем являются продукты серии FPGA Express фирмы Synopsys, OrCAD Express фирмы OrCAD, продукты фирм VeriBest, Aldec, Cadence Design Systems и многих других.

 

Системы моделирования

 

Следующая категория продуктов нацелена па моделирование электронных систем на поведенческом уровне. Здесь от программы требуется большая гибкость, наличие разнообразных библиотек функциональных элементов, возможность сопряжения с другими системами моделирования. В этой области предлагается два продукта:

• Пакет System View компании Elanix;

• Пакет Microwave Office компании AWR.

Назначение данных продуктов сходное, но в них просматривается ярко выраженная специализация. Продукт SystemView предназначен для моделирования любых динамических систем. То есть, он позволяет провести моделирование во временной области любой системы, будь то цифровая логическая схема, аналоговая схема или просто некая математическая абстракция. Имеется возможность создания пользовательских библиотек любой сложности.

Пакет Microwave Office больше предназначен для разработчиков СВЧ-устройств. Используемый в нем модуль анализа поведения структурных схем разработан компанией ICUCOM для моделирования обработки сложных цифровых сигналов в телекоммуникационном оборудовании. Прилагаемые библиотеки функциональных модулей насчитывают более 400 моделей. Оригинальная объектно-ориентированная архитектура вычислительно ядра пакета Microwave Office и используемые в нем методы моделирования дают возможность отслеживать процессы в системах практически в режиме реального времени. Например, используя инструмент тюнер, можно плавно изменять уровень гетеродина одного из преобразователей частоты приемника и сразу же отслеживать изменение коэффициента побитовых ошибок на выходе демодулятора.



Средства автоматизации проектирования

 

Дата: 2019-05-29, просмотров: 205.