Контроллер прямого доступа к памяти К1810ВТ37 (i8237)
Поможем в ✍️ написании учебной работы
Поможем с курсовой, контрольной, дипломной, рефератом, отчетом по практике, научно-исследовательской и любой другой работой

Данная микросхема включает в себя четыре независимых канала ПДП: соответственно канал 0, канал1, канал 2 и канал 3. Каждый канал может находиться в двух основных режимах: режиме программирования и режиме ПДП. В процессе программирования канал может быть настроен на работу в следующих режимах.

· Режим одиночной передачи. В этом режиме канал осуществляет передачу байта информации между ОП и портом ВУ, после чего КПДП возвращает шину МП. В этом режиме можно задавать блок информации, но передаваться он будет побайтно.

· Режим блоковой передачи. В этом режиме канал передаеи блок информации. КПДП не возвращает шину МП до тех пор, пока не будет передан весь блок.

· Режим передачи по требованию. По смыслу это та же блоковая передача, однако после передачи каждого байта КПДП проверяет вход запроса на ПДП (DREQ) канала. Если на нем стоит активный сигнал, значит ВУ готово к дальнейшему обмену, и передача продолжается. Если на DREQ стоит пассивный сигнал, значит ВУ к дальнейшему обмену не готово, передача прекращается (до нового запроса от этого ВУ) и шина возвращается МП.

· Каскадный режим. В системе может стоять несколько КПДП, но при этом они соединяются каскадно (один ведущий, остальные ведомые). Сигнал с выхода HRQ ведомого заводится не на вход HOLD МП, а на входDREQ одного из каналов ведущего. Если какой-либо канал КПДП настроен на каскадный режим, значит к входу DREQ этого канала подключен ведомый контроллер.

· Существует специфический вид передачи, который называется «память – память». Как следует из названия, обмен здесь идет не между ОП и ВУ, а между двумя областями ОП. Для такой передачи используются два фиксированных канала: канал 0 и канал 1. В канале 0 задается начальный адрес области ОП, откуда будет передаваться информация. В канале 1 – начальный адрес области ОП, куда будет передаваться информация, и размер передаваемого блока. Запрос на передачу «память – память» подается на канал 0. Получив этот запрос, КПДП за один цикл шины считывает из ОП байт, адрес которого он берет из канала 0, и помещает его в свой внутренний регистр временного хранения. Затем КПДП формирует второй цикл шины, в котором этот байт записывается в ОП, по адресу, взятому из канала 1. После этого производится автодекремент счетчика байтов и автоинкремент (или автодекремент) адресов в каналах 0 и 1, и т.д.

· При передаче «память – память» можно использовать режим автофиксации, при котором в процессе передачи адрес в канале 0 не меняется. Этот режим позволяет заполнять заданную область памяти константой.

· Режим «память – память» используется, например, для регенерации динамического ОЗУ.

· Обычно по окончании передачи блока канал надо перепрограммировать. Однако, если канал настроен на автоинициализацию, перенастройка канала не требуется, поскольку канал автоматически перезагружается исходными данными. Во избежание конфликтных ситуаций, всем каналам КПДП присваивается приоритет. По умолчанию старший приоритет имеет канал 0, однако можно программно изменять приоритеты каналов.

· На рис. 2.23 приведено обозначение ВТ37 на функциональных схемах.

· Рассмотрим назначение входов/выходов ВТ37.

· CLK – вход синхроимпульсов. Синхросерия подается с генератора ГФ84, КПДП использует эту синхросерию, находясь в режиме ПДП, для формирования циклов шины.

· CS – выбор кристалла. Нужен в режиме программи-рования, активный сигнал на этом входе означает что процессор обращается к одному из внутренних регистров КПДП. В режиме ПДП вход CS блокируется.

· RESET – сброс. По этому сигналу все каналы переводятся в режим программирования. Все каналы при этом маскируются, т.е. запрещается восприятие запросов от ВУ по линиям DREQ 3-0. Поэтому после программирования канала последний надо демаскировать.

Рисунок 6.1 - i8237

· READY – готовность. Аналогичен одноименному входу 8086. Используется в режиме ПДП. С помощью этого входа синхронизируется работа КПДП и медленнодействующих ОП и ВУ.

· HLDA – подтверждение захвата. Сигнал на этот вход заводится с одноименного выхода МП. Когда на HLDAприходит активный сигнал, КПДП берет управление системной шиной на себя.

· IOR и IOW – в режиме программирования это входы. Сигналы на них задают КПДП вид операции, с которой обращается к нему МП. В режиме ПДП это выходы. На них КПДП выставляет сигналы, задающие вид операции порту ВУ.

· DREQ 3-0 (DMA request) – входы запросов на ПДП для каналов 3-0. Активный уровень этих сигналов задается при программировании.

· А3-0 и А7-4 – на этих линиях в режиме ПДП контроллер формирует младший байт адреса ОП. Адрес на этих линиях стоит до конца цикла шины и его не надо запоминать во внешнем регистре. В остальное время линииА3-0 являются входами. Комбинация сигналов на этих входах задает внутренний регистр КПДП. То есть внутри КПДП имеется шестнадцать адресуемых устройств.

· D 7-0 – линии адрес/данные. В режиме ПДП в такте Т1 контроллер выставляет на эти линии старший байт адреса ОП. В такте Т2 адрес с этих линий снимается и его фиксируют во внешнем регистре. В остальное время эти линии представляют собой двунаправленную шину данных.

· Из вышеизложенного следует, что ВТ37 вырабатывает 16-разрядный адрес и может адресовать только 64 Кбайта памяти. Для того чтобы КПДП мог адресовать 1 Мбайт, в систему вводят страничные регистры (по одному на каждый используемый канал). В эти регистры программист заранее загружает четыре старших разряда адреса. Чтобы обратиться к другой области памяти, надо сменить содержимое страничного регистра.

· ADSTB – сигнал, сопровождающий выдачу адреса. Используется для фиксации старшего байта адреса, формируемого на линиях D 7-0 во внешнем регистре.

· AEN (address enable) – активный сигнал на этот выход выставляется в режиме ПДП. Обычно этот сигнал используется для блокирования доступа к шине со стороны других устройств системы.

· EOP (end of process) – конец операции. Активный сигнал на этом выходе устанавливается, когда счетчик байтов канала переходит через ноль. Этот сигнал может оповещать ВУ об окончании передачи. Линия EOPможет использоваться и как вход. Если в режиме ПДП внешним образом сформировать на этой линии активный (нулевой) сигнал, передача прекращается.

· HRQ (hold request) – запрос на захват. Подается на вход HOLD МП, отключая последний от системной шины.

· MR и MW – сигналы на этих выходах в режиме ПДП задают вид операции для ОП.

· DACK 3-0 (DMA acknowledge) – подтверждение ПДП для каналов 3-0. Используется для подключения портов ВУ к шине данных. Активный уровень сигнала на этих выходах задается при программировании контроллера.

· В IBM PC/XT стоит один КПДП ВТ37. Его регистрам присвоены системные адреса 00h – 0fh. Страничные регистры (порты) в ХТ имеют системные адреса: 81h ‑ для канала 2; 82h – для канала 3; 83h – для канала 1;87h – для канала 0. Начиная с PC/AT, в системе стоят два ВТ37, соединенных каскадно.

 

 

 

        Рисунок 6.2 – Структурная схема МПС с контроллером DMA

Лекция № 7

 

ТЕМА:   Организация памяти микропроцессорных устройств.

Классификация ЗУ. Организация ПЗУ

 

Основные вопросы, рассматриваемые на лекции:

1. Организация памяти микропроцессорных устройств

2. Классификация ЗУ

3. ROM

4. PROM

5. EPROM, EEPROM

6. Подключение ПЗУ к МП

        Повышение производительности вычислительных систем на структурном уровне можно достигнуть за счет сокращения временных затрат при обращениях к памяти. Сокращение временных затрат предполагает совершенствование таких основных характеристик памяти, как быстродействие и емкость.

Однако даже с учетом прогресса в технологии быстродействующие запоминающие устройства (ЗУ) остаются более дорогими, чем медленные. Следовательно, с точки зрения стоимости эффективнее иметь иерархию памяти, которая позволяет согласовать характеристики памяти и процессора. Иерархия памяти существует благодаря разнице в стоимости устройств хранения информации. Если бы ЗУ с минимальным временем доступа и большой емкостью были достаточно дешевыми, то системы имели бы только один уровень памяти. Из-за отсутствия такой памяти требования высокой производительности и низкой стоимости наилучшим образом удовлетворяются использованием различных технологий, позволяющих сочетать дорогие быстродействующие устройства с дешевыми и медленными

блоками памяти. Память, разработанная на основе этой концепции, называется иерархической или многоуровневой системой памяти.

Таким образом, память в современных вычислительных системах строится по иерархической структуре. Главной целью разработки эффективной иерархической системы памяти является обеспечение обмена необходимым количеством информации с процессором со скоростью наиболее быстродействующего ЗУ, имеющегося в иерархии. Такая многоуровневая система памяти включает регистровый, сверхоперативный, оперативный, внешний и архивный уровни. Как и в любой иерархии памяти, эти структуры упорядочены по убыванию емкости и по возрастанию скорости. Наибольший по емкости является архивная память, а наименьший - регистровые файлы (РФ). Наибольшей по возрастанию скорости является регистровые файлы и

наименьшей - архивная память на базе накопителей накопителей на оптических дисках (НОД).

    В наиболее развитой иерархии памяти ЭВМ можно выделить следующие уровни:

- регистровые ЗУ, находящиеся в составе процессора или других устройств (т. е. внутренние для этих блоков), благодаря которым уменьшается число обращений к другим уровням памяти, реализованным вне процессора и требующим большего времени для операций обмена информацией:

- кэш-память, служащая для хранения копии информации, используемой в текущих операциях обмена. Высокое быстродействие кэш-памяти повышает производительность ЭВМ.

- основная память (оперативная, постоянная, полупостоянная). работающая в режиме непосредственного обмена с процессором и по возможности согласованная с ним по быстродействию. Исполняемый в текущий момент фрагмент Программы обязательно находится в основной памяти;

- специализированные виды памяти, характерные для некоторых специфических архитектур (многопортовые, ассоциативные, видеопамять и др.).

    Важнейшие параметры ЗУ

Информационная емкостьмаксимально возможный объем хранимой информации. Выражается в битах или словах (в частности, в байтах). Бит хранится запоминающим элементом (ЗЭ), а слово — запоминающей ячейкой (ЗЯ). т.е. группой ЗЭ. к которым возможно лишь одновременное обращение. добавление к единице измерения множителя "К" (кило) означает умножение на 210=1024, а множителя "М" (мега) — умножение на 220- 1048576.

Организация ЗУпроизведение числа хранимых слов на их разрядность. Видно, что это дает информационную емкость ЗУ, однако при одной и той же информационной емкости организация ЗУ может быть различной, так что организация является самостоятельным важным параметром.

Быстродействие (производительность) ЗУ оценивают временами считывания записи и длительностями циклов чтения/записи. Время считывания- интервал между моментами появления сигнала чтения и слова на выходе ЗУ            Время записиинтервал после появления сигнала записи, достаточный для установления ЗЯ в состояние, задаваемое входным словом. Минимально допустимый интервал между последовательными чтениями или записями образует соответствующий цикл. Длительности циклов могут превышать времена чтения или записи, т. к. после этих операций может потребоваться время для восстановления необходимого начального состояния ЗУ.

Время чтения, записи и длительности циклов — традиционные параметры. Для некоторых современных ЗУ они должны быть дополнены новыми. Причиной является более сложный характер доступа к хранимым данным, когда обращение к первому слову некоторой группы слов (пакета) требует большего времени, чем обращение к последующим Для таких режимов вводят параметр времени доступа при первом обращении (Latency) и темпа передач для последующих слов пакета (Bandwidth). Темп передач в свою очередь оценивается двумя значениями — предельным (внутри пакета) и усредненным (с учетом Latency).С уменьшением пакета усредненный темп снижается, все более отличаясь от предельного.

Помимо указанных основных параметров для ЗУ указывают еще целый набор временных интервалов. Перечисленные выше динамические параметры являются эксплуатационными (измеряемыми). Кроме них, существует ряд режимных параметров, обеспечение которых необходимо для нормального функционирования ЗУ, поскольку оно имеет несколько сигналов управления, для которых должно быть обеспечено определенное взаимное расположение во времени. Для этих сигналов задаются длительности и ограничения по взаимному положению во времени.

Один из возможных наборов сигналов ЗУ (рис. 7.1. а) включает следующие сигналы:

 

Рис. 7.1. Типичные сигналы ЗУ (а) и их временные диаграммы (б)

А — адрес, разрядность которого n определяется числом ячеек ЗУ. т. е. максимально возможным числом хранимых в ЗУ слов. Для ЗУ типично число ячеек, выражаемое целой степенью двойки. Адрес является номером ячейки, к которой идет обращение. Очевидно, что разрядность адреса связана с числом хранимых слов N соотношением и - log2N (имеется в виду максимально возможное число хранимых слов) Например, ЗУ с информационной емкостью 64К слон имеет 16-разрядные адреса, выра­жаемые словами

А = А15А14А13...А0;

CS — (Chip Select) или СЕ (Chip Enable), который разрешает или запрещает работу данной микросхемы;

R/W — (Read/Write) задает выполняемую операцию (при единичном значении — чтение, при нулевом — запись);

D1 и DO (Data Input) и (Data Output) — шины входных и выходных данных, разрядность которых m определяется организацией ЗУ (разрядностью его ячеек). В некоторых ЗУ эти линии объединены.

Требования к взаимному временному положению двух сигналов (А и В) задаются временами предустановки, удержания и сохранения.

время предустановки сигнала А относительно сигнала В tSU(A_B) есть ин­тервал между началами обоих сигналов.

Время удержания tH (A - B) — это интервал между началом сигнала А и окон­чанием сигнала В.

время сохранения tV (A - B) — интервал между окончанием сигнала А и окончанием сигнала В.

Длительности сигналов обозначаются как tW (индекс от слова Width - ширина).

Для ЗУ характерна такая последовательность сигналов. Прежде) всего подается адрес, чтобы последующие операции не коснулись какой-либо другой ячейки, кроме выбранной. Затем разрешается работа микросхемы сигналом CS (CF) и подается строб чтения/записи R/W (взаимное положение сигналов CS и R/W для разных ЗУ может быть различным). Если задана, например, операция чтения, то после подачи перечисленных сигналов ЗУ готовит данные для чтения, что требует определенного времени. Задний фронт сигнала R/W, положение которого во времени должно обеспечивать, установление правильных данных на выходе ЗУ. считывает данные.

Пример временной диаграммы для рассмотренного набора сигналов ЗУ и операции чтения приведен на рис. 7.1. б.

Индексом А (от слова Access) обозначаются согласно стандарту времена дос­тупа — интервалы времени от появления того или иного управляющего сигнала до появления информационного сигнала на выходе. Время доступа относительно сигнала адреса обозначается, если следовать правилу, как tA(A), но часто просто как tA. Аналогично этому, время доступа относительно сигнала CS , т. е. tA(CS) часто обозначается просто как tCS. Время iA называют также временем выборки, а время tCS - временем выбора.

Кроме отмеченных параметров для ЗУ используется и ряд других (уровни напряжений, токи, емкости выводов, температурный диапазон и т.д.), которые не требуют специального рассмотрения, т.к. они традиционны для цифровой схемотехники. Исключение составляет свойство энергонезависимости, т.е. способность ЗУ сохранять данные при отключении напряжения питания. Энергонезависимость может быть естественной, т.е. присущей самим ЗЭ, или искусственной, достигаемой введением резервных источников питания, автоматически подключаемых к накопителю ЗУ при снятии основного питания.

Классификация ЗУ

Для классификации ЗУ (рис 7.2) важнейшим признаком является способ доступа к данным.

При адресном доступе код на адресном входе указывает ячейку, с которой ведется обмен. Все ячейки адресной памяти в момент обращения равнодоступны. Эти ЗУ наиболее разработаны, и другие виды памяти часто строят на основе адресной с соответствующими модификациями.

Адресные ЗУ делятся на RAM (Random Access Memory) и ROM (Read-Only Memory). Русские синонимы термина RAM: ОЗУ (оперативные ЗУ) или ЗУПВ (ЗУ с произвольной выборкой). Оперативные ЗУ хранит данные, участвующие в обмене при исполнении текущей программы, которые могут быть изменены в произвольный момент времени. Запоминающие элементы ОЗУ, как правило, не обладают энергонезависимостью.

В ROM (русский эквивалент — ПЗУ, т. е. постоянные ЗУ) содержимое либо вообще не изменяется, либо изменяется, но редко и в специальном режиме. Для рабочего режима это "память только для чтения".

Рис 7.2. Классификация полупроводниковых ЗУ.

 

RAM делятся на статические и динамические. В первом варианте запоминающими элементами являются триггеры, сохраняющие свое состояние, пока схема находится под питанием и нет новой записи данных Во втором варианте данные хранится в виде «рядов конденсаторов, образуемых элементами МОП-структур. Саморазряд конденсаторов ведет к разрушению данных. поэтому они должны периодически (каждые несколько миллисекунд) регенерироваться. В то же время плотность упаковки динамических элементов памяти в несколько раз превышает плотность упаковки, достижимую в статических RAM.

Регенерация данных в динамических ЗУ осуществляется с помощью специальных контроллеров. Разработаны также ЗУ с динамическими запоминающими элементами, имеющие внутреннюю встроенную систему регенерации, у которых внешнее поведение относительно управляющих сигналов становится аналогичным поведению статических ЗУ. Такие ЗУ называют квазистатическими.

Статические ЗУ называются SRAM (Static RAM), а динамические — ORAM (Dynamic RAM).

Статические ОЗУ можно разделить на асинхронные, тактируемые и синхронные (конвейерные). В асинхронных сигналы управления могут задаваться как импульсами, так к уровнями. Например, сигнал разрешения работы CS может оставаться неизменным и разрешающим на протяжении многих циклов обращения к памяти. В тактируемых ЗУ некоторые сигналы обязательно должны быть импульсными, например, сигнал разрешения работы CS в каждом цикле обращения к памяти должен переходить из пассивного состояния в активное (должен формироваться фронт этого сигнала в каждом цикле). Этот тип ЗУ называют часто синхронным

Динамические ЗУ характеризуются наибольшей информационной емкостью и невы­сокой стоимостью, поэтому именно они используются как основная память ЭВМ. Поскольку от этой памяти требуется высокое быстродействие, разработаны многочисленные архитектуры повышенного быстродействия, перечисленные и классификации. Статические ЗУ в 4...5 раз дороже динамических и приблизительно во столько же раз меньше по информационной емкости. Их достоинством является высокое быстродействие, а типичной областью использования — схемы кэш-памяти.

Постоянная память типа ROM (М) программируется при изготовлении методами интегральной технологии с помощью одной из используемых при этом масок. В русском языке ее можно назвать памятью типа ПЗУМ (ПЗУ масочные). Для потребителя это в полном смысле слова постоянная память, т. к. изменить ее содержимое он не может. В следующих трех разновидностях ROM в обозначениях присутствует буква Р (от Programmable). Это программируемая пользователем память (в русской терминологии ППЗУ— программируемые ПЗУ). Ее содержимое записывается либо однократно (в PROM), либо может быть заменено путем стирания старой информации и записи новой (в EPROM и EEPROM) В EPROM стирание выполняется с помощью облучения кристалла ультрафиолетовыми луча-ми, ее русское название РПЗУ-УФ (репрограммируемое ПЗУ с УФ-стираннем). В EEPROM стирание производится электрическими сигналами ее русское название РПЗУ-ЭС (репрограммируемое ПЗУ с электрическим стиранием). Английские названия расшифровываются как Electrically Programmable ROM и Electrically Erasable Programmable ROM. Программирование PROM и репрограмирование EPROM и EEPROM производятся в обычных лабораторных условиях с помощью либо специальных программаторов, либо специальных режимов без специальных приборов (для EEPROM)

Память типа Flash по запоминающему элементу подобна памяти типа EEPROM (или иначе E2PROM), но имеет структурные и технологические особенности, позволяющие выделить ее в отдельный вид.

Запись данных и для EPROM и для E2PROM производится электрическими сигналами.

В ЗУ с последовательным доступом записываемые данные образуют некоторую очередь. Считывание происходит из очереди слово за словом либо в порядке записи, либо в обратном порядке. Моделью такого ЗУ является последовательная цепочка запоминающих элементов, в которой данные передаются между соседними элементами.

Прямой порядок считывания имеет место в буферах FIFO с дисциплиной "первый пришел — первый вышел" (First In — First Out), а также в файловых и циклических ЗУ.

Разница между памятью FIFO и файловым ЗУ состоит в том, что и FIFO запись в пустой буфер сразу же становится доступной для чтения, т. е. поступает в конец цепочки (модели ЗУ). В файловых ЗУ данные поступают в начало цепочки и появляются на выходе после некоторого числа обращений, равного числу элементов в цепочке. При независимости операций считывания и записи фактическое расположение данных в ЗУ на момент считывания не связано с каким-либо внешним признаком. Поэтому записываемые данные объединяют в блоки, обрамляемые специальными символами конца и начала (файлы). Прием данных из файлового ЗУ начинается после обнаружения приемником символа начала блока.

В циклических ЗУ слова доступны одно за другим с постоянным периодом, определяемым емкостью памяти. К такому типу среди полупроводниковых ЗУ относится видеопамять (VRAM).

Считывание в обратном порядке свойственно стековым ЗУ, для которых реализуется дисциплина "последний пришел — первый вышел". Такие ЗУ называют буферами LIFO ( Last In — First Out).

Время доступа к конкретной единице хранимой информации в последовательных ЗУ представляет собою случайную величину. В наихудшем случае для такого доступа может потребоваться просмотр всего объема хранимых данных.

Ассоциативный доступ реализует поиск информации по некоторому признаку, а не по ее расположению в памяти (адресу или месту в очереди) В наиболее полной версии все хранимые в памяти слова одновременно проверяются на соответствие признаку, например, на совпадение определенных нолей слов (тегов — от английского слова tag) с признаком, задаваемым входным словом (теговым адресом). На выход выдаются слова, удовлетворяющие признаку. Дисциплина выдачи слов, если тегу удовлетворяют несколько слов, а также дисциплина записи новых данных могут быть разными. Основная область применения ассоциативной памяти в современных ЭВМ кэширование данных.

Технико-экономические параметры ЗУ существенно зависят от их схемотехнологической реализации. Но этому признаку также возможна классификация ЗУ. однако удобнее рассматривать этот вопрос применительно к отдельным типам памяти.

    Запоминающие устройства типа ROM (память только для чтения) хранит информацию, которая либо вообще не изменяется (в ЗУ типов ROM(M) и PROM), либо изменяется редко и не в оперативном режиме (в ЗУ типов EPROM и EEPROM).

В масочные ЗУ типа ROM(M) информация записывается при изготовлении микросхем на промышленных предприятиях с помощью шаблона (маски) на завершающем этапе технологического процесса.

ЗУ типа PROM программируются после изготовления их предприятием электронной промышленности в лабораториях потребителей без использо­вания сложных технологических процессов. Для этого используются не­сложные устройства (программаторы).

Программирование постоянной памяти заключается в том или ином разме­щении элементов связи между горизонтальными и вертикальными линиями матрицы запоминающих элементов.

Запоминающие устройства типа ROM имеют многоразрядную организацию (чаще всего 8-разрядную или 4-разрядную, для некоторых ИС 16-разрядную) и обычно выполняются по структуре 2DM. Простейшие ЗУ мо­гут иметь структуру 2D. Технологии изготовления постоянных ЗУ разнооб­разны - ТТЛ(Ш), КМОП. п-МОП и др.

ЗУ типа PROM

В ЗУ типа PROM микросхемы программируются устранением или созданием специальных перемычек. В исходной заготовке имеются (или отсутствуют) все перемычки. После программирования остаются или возникают только необходимые.

Устранение части перемычек свойственно ЗУ с плавкими перемычками (типа Rise — предохранитель). При этом в исходном состоянии ЗУ имеет все перемычки, а при программировании часть их ликвидируется путем расплавления импульсами тока достаточно большой амплитуды и длительности.

 Термином "прошивка" иногда называют содержимое постоянной памяти. В ЗУ с плавкими перемычками эти перемычки включаются в электроды диодов или транзисторов. Перемычки могут быть металлическими (вначале изготовлялись из нихрома, позднее из титановольфрамовых и других сплавов) или поликристаллическими (кремниевыми). В исходном состоянии запоминающий элемент хранит логическую единицу, логический нуль нужно записать, расплавляя перемычку.

Создание части перемычек соответствует схемам, которые в исходном состоянии имеют непроводящие перемычки в виде пары встречно включенных диодов или тонких диэлектрических слоев, пробиваемых при программировании с образованием низкоомных сопротивлений. Схемы с тонкими пробиваемыми диэлектрическими перемычками (типа antifuse) наиболее компактны и совершенны. Их применение характерно для программируемых логических СБИС. В номенклатуре продукции стран СНГ ЗУ с перемычками типа antifuse отсутствуют.

Второй тип запоминающего элемента PROM — два встречно включенных диода. В исходном состоянии сопротивление такой цепочки настолько велико, что практически равноценно разомкнутой цепи, и запоминающий элемент хранит логический нуль. Для записи единицы к диодам прикладывают повышенное напряжение, пробивающее диод, смещенный в обратном направлении. Диод пробивается с образованием в нем короткого замыкания и играет роль появившейся проводящей перемычки.

    ЗУ типов EPROM и EEPROM

В репрограммируемых ЗУ типов EPROM и EEPROM (или E2PROM) возможно стирание старой информации и замена ее новой в результате специального процесса, для проведения которого ЗУ выводится из рабочего режима. Рабочий режим (чтение данных) — процесс, выполняемый с относительно высокой скоростью. Замена же содержимого памяти требует выполнения гораздо более длительных операций.

По способу стирания старой информации различают ЗУ со стиранием ультрафиолетовыми лучами (EPROM или в русской терминологии РПЗУ-УФ, т. е. репрограммируемые ПЗУ с ультрафиолетовым стиранием) и электрическим стиранием (E2PROM или РПЗУ-ЭС).

Запоминающими элементами современных РПЗУ являются транзисторы типов МНОП и ЛИЗМОП (добавление ЛИЗ к обозначению МОП происходит от слов Лавинная Инжекция Заряда).

МНОП-транзистор отличается от обычного МОП-транзистора двухслойным подзатворным диэлектриком. На поверхности кристалла расположен тонкий слой двуокиси кремния Si02, далее более толстый слой нитрида кремния Si3N4 и затем уже затвор (рис. 7.2, а). На границе диэлектрических слоев возникают центры захвата заряда. Благодаря туннельному эффекту, носители заряда могут проходить через тонкую пленку окисла толщиной не более 5 нм и скапливаться на границе раздела слоев. Этот заряд и является носителем информации, хранимой МНОП-транзистором. Заряд записывают созданием под затвором напряженности электрического поля, достаточной для возникновения туннельного перехода носителей заряда через тонкий слой Si02.На границе раздела диэлектрических слоев можно создавать заряд любого знака в зависимости от направленности электрического поля в подзатворной области Наличие заряда влияет на пороговое напряжение транзистора.

Рисунок 7.2 -  Структуры транзисторов типов МНОП (а) и ЛИЗМОП с двойным затвором (б).

 

Для МНОП-транзистора с n-каналом отрицательный заряд на границе раздела слоев повышает пороговое напряжение (экранирует воздействие положительного напряжения на затворе, отпирающего транзистор). При этом пороговое напряжение возрастает настолько, что рабочие напряжения на затворе транзистора не в состоянии его открыть (создать в нем проводящий канал). Транзистор, в котором заряд отсутствует или имеет другой знак, легко открывается рабочим значением напряжения Так осуществляется хранение бита в МНОП: одно из состояний трактуется как отображение логической единицы, другое — нуля. При программировании ЗУ используются относительно высокие напряжения, около 20 В. После снятия высоких напряжений туннельное прохождение носителей заряда через диэлектрик прекращается и заданное транзистору пороговое напряжение остается неизменным. После 104... 106 перезаписей МНОП-транзистор перестает устойчиво хранить заряд. РПЗУ на МНОП-транзисторах энергонезависимы и могут хранить информацию месяцами, годами и десятками лет. Перед новой записью старая информация стирается записью нулей во все запоминающие элементы. Тип ЗУ — РПЗУ-ЭС.

Транзисторы типа ЛИЗМОП всегда имеют так называемый плавающий затвор, который может быть единственным или вторым, дополнительным к обычному (управляющему) затвору. Транзисторы с одним плавающим затвором используются в ЗУ типа РПЗУ-УФ, а транзисторы с двойным затвором пригодны для применения как в РПЗУ-УФ, так и в РПЗУ-ЭС. Рассмотрим более современный тип — ЛИЗМОП-транзистор с двойным затвором (рис. 7.2, 6).

Принцип работы ЛИЗМОП с двойным затвором близок к принципу работы МНОП-транзистора — здесь также между управляющим затвором и областью канала помещается область, в которую при программировании можно вводить заряд, влияющий на величину порогового напряжения транзистора. Только область введения заряда представляет собою не границу раздела слоев диэлектрика, а окруженную со всех сторон диэлектриком проводящую область (обычно из поли кристаллического кремния), в которую, как в ловушку, можно ввести заряд, способный сохраняться в ней в течение очень длительного времени. Эта область и называется плавающим затвором.

При подаче на управляющий затвор, исток и сток импульса положительного напряжения относительно большой амплитуды 20...25 В в обратно смещенных р-n переходах возникает лавинный пробой, область которого насыщается электронами. Часть электронов, имеющих энергию, достаточную для преодоления потенциального барьера диэлектрической области, проникает в плавающий затвор. Снятие высокого программирующего напряжения восстанавливает обычное состояние областей транзистора и запирает электроны в плавающем затворе, где они могут находиться длительное время в высококачественных приборах многие годы).

Заряженный электронами плавающий затвор увеличивает пороговое напряжение транзистора настолько, что в диапазоне рабочих напряжений проводящий канал в транзисторе не создается.

При отсутствии заряда в плавающем затворе транзистор работает в обычном ключевом режиме.

Стирание информации может производиться двумя способами — ультрафиолетовым облучением или электрическими сигналами.

В первом случае корпус ИС имеет специальное прозрачное окошко для облучения кристалла. Двуокись кремния и поликремний прозрачны для ультрафиолетовых лучей. Эти лучи вызывают в областях транзистора фототоки и тепловые токи, что делает области прибора проводящими и позволяет заряду покинуть плавающий затвор. Операция стирания информации этим способом занимает десятки минут, информация стирается сразу во всем кристалле. В схемах с УФ-стиранием число циклов перепрограммирования существенно ограничено, т. к. под действием ультрафиолетовых лучей свойства материалов постепенно изменяются. Число циклов перезаписи у отечественных ИС равно 10..100.

Электрическое стирание информации осуществляется подачей на управляющие затворы низкого (нулевого) напряжения, а на стоки — высокого напряжения программирования. Электрическое стирание имеет преимущества: можно стирать информацию не со всего кристалла, а выборочно (индивидуально для каждого адреса). Длительность процесса "стирание-запись" значительно меньше, сильно ослабляются ограничения на число циклов перепрограммирования (допускается 104...106 таких циклов). Кроме того, перепрограммировать ЗУ можно, не извлекая микросхему из устройства, в котором она работает. В то же время схемы с электрическим стиранием занимают больше места на кристалле, в связи с чем уровень их интеграции меньше, а стоимость выше. В последнее время эти недостатки быстро преодолеваются и ЭС-стирание вытесняет УФ-стирание.

Предшественниками даухзатворньгх ЛИЗМОП-тразисторов были однозатворные, имевшие только плавающий затвор. Эти транзисторы изготовлялись обычно с р-каналом, поэтому введение электронов в плавающий затвор приводило к созданию в транзисторе проводящего канала, а удаление заряда — к исчезновению такого канала. При использовании таких транзисторов запоминающие элементы состоят из двух последовательно включенных транзисторов: ключевого МОП-транзистора обычного типа для выборки адресованного элемента и ЛИЗМОП-транзистора, состояние которого определяет хранимый бит. Стирание информации производится ультрафиолетовыми лучами. Подключение двухзатворных ЛИЗМОП-транзисторов к линиям выборки строк и линиям чтения в матрицах ЗУ показано на рис. 4.17. Запись логического нуля осуществляется путем заряда плавающего затвора инжекцией "горячих" электронов в режиме программирования. Стирание информации, под которым понимается удаление заряда из плавающего затвора, приводит к записи во все запоминающие элементы логических единиц, т. к. в данном случае опрашиваемые транзисторы открываются и передают напряжение Ucc на линии считывания.

 

 

    Рисунок 7.3 – Схема подключение ПЗУ к шинам МП

 

 

        Рисунок 7.4 – Диаграммы работы ПЗУ

 

Лекция № 8

 

ТЕМА: Организация ОЗУ статического типа.

Основные вопросы, рассматриваемые на лекции:

1. Назначение RAM

2. Структуры RAM

3. Организация RAM

4. Подключение RAM к МП

5. Диаграммы работы RAM.

 

Область применения относительно дорогостоящих статических ОЗУ в системах обработки информации определяется их высоким быстродействием. В частности, они широко используются в кэш-памяти, которая при сравнительно малой емкости должна иметь максимальное быстродействие.

Статические ОЗУ (SRAM), как правило, имеют структуру 2DM, часть их при небольшой информационной емкости строится по структуре 2D. Запоминающими элементами статических ОЗУ служат триггеры с цепями установки и сброса. В связи с этим статические ОЗУ называют также триггерными. Триггеры можно реализовать по любой схемотехнологии (ТТЛ(Ш), И2Л, ЭСЛ, п-МОП. КМОП, AsGa и др.), соответственно которой (существуют разнообразные схемы ЗУ. Различие в параметрах этих ЗУ отражает специфику той или иной схемотехнологии. В .последнее время наиболее интенсивно развиваются статические ЗУ, выполненные по схемотехнологии КМОП, которая по мере уменьшения топологических норм технологического процесса приобретает высокое быстродействие при сохранении своих традиционных преимуществ.

    Внешняя организация и временные диаграммы статических ЗУ

В номенклатуре статических ЗУ представлены ИС с одноразрядной и словарной организацией. Внешняя организация статического ЗУ емкостью 64 Кбита (8Кх8) показана на рис. 4.28. Состав и функциональное назначение сигналов адреса А12-0, выборки кристалла CS, чтения/записи R/W соответствуют рассмотренным выше сигналам аналогичного типа. Входы и выходы ИС совмещены и обладают свойством двунаправленных передач.

Имеется также вход ОЕ разрешения по выходу, пассивное состояние которого (ОЕ = Н) переводит выходы в третье состояние. Работа ЗУ отображается таблицей (табл. 8.1).

 

  

 Рисунок -  8.1  Пример внешней организации статического ЗУ

                                                                                Таблица 8.1

 

Функционирование ЗУ во времени регламентируется временными диаграммами, устанавливаемыми изготовителем. В основу кладутся определенные требования. Например, чтобы исключить возможность обращения к другой ячейке, рекомендуется подавать адрес раньше, чем другие сигналы, с опережением на время его декодирования. Адрес должен держаться в течение всего цикла обращения к памяти.

Рисунок 8.2 -  Временные диаграммы процессов чтения (а) и записи (б) в статическом ЗУ

Затем следует подать сигналы, определяющие направление передачи данных и, если предполагается запись, то записываемые данные, а также сигналы выборки кристалла и, при чтении, разрешения выхода. Среди этих сигналов будет и стробируюший, т. е. выделяющий временной интервал непосредственного выполнения действия. Таким сигналом для разных ЗУ может служить как сигнал R/W, так и сигнал CS.

Статические ЗУ подразделяются на асинхронные и тактируемые. В тактируемых ЗУ к определенным сигналам (как правило, к сигналу CS) предъявляется требование импульсного характера, согласно которому после активизации сигнала он обязательно должен вернуться к пассивному уровню и только после этого возможна его активизация в следующем цикле обращения к памяти. В асинхронных ЗУ такие требования отсутствуют и, например, разрешение работы может производиться постоянным уровнем CS = L на протяжении множества циклов обращения к памяти.

Пример временных диаграмм для процессов чтения и записи в статическом ЗУ показан на рис. 8.2, а, б. На них показаны времена выборки относительно адреса tA и выбора tcs, длительности импульсов tw различных сигналов и цикла адреса tCY(A), задержка tDZ перехода выхода из активного состояния в состояние отключено, времена предустановки tSU и удержания tH с указанием сигналов, для которых они отсчитываются. Приведено время восстановления trec(WR), отсчитываемое как необходимая пауза между повторениями активных интервалов сигнала WR.

Для правильного проектирования модулей памяти и использования в них конкретных микросхем необходимо также знать емкости их входов С1, выходов Со, и предельно допустимую емкость нагрузки СLmax.

Искусственная энергонезависимость статических ЗУ

Статические ОЗУ энергозависимы — при снятии питания информация в триггерных запоминающих элементах теряется. Можно придать им искусственную энергонезависимость с помощью резервного источника питания. Это наиболее пригодно для ЗУ на элементах КМОП. т. к. они в режиме хранения потребляют чрезвычайно малую мощность.

Для подключения к накопителю ЗУ резервного источника питания разработчики памяти рекомендуют схему, приведенную на рис. 8.3, а. В этой схеме напряжение резервного источника несколько ниже напряжения основного источника Uc В рабочем режиме накопитель питается от напряжения Ucc, при этом диод Д1 проводит, а диод Д2 заперт. При снижении рабочего напряжения к накопителю автоматически подключается источник резервного питания. При этом проводит диод Д2, а диод Д1 запирается, т. к. при малых значениях Ucc он попадает под обратное смещение.

При разработке микропроцессорных систем вариант (рис. 4.30, а) недостаточно надежен в связи со следующим обстоятельством. Напряжение питания системы Ucc вырабатывается источником, на выходе которого обычно имеется сглаживающий фильтр со значительной инерционностью. Поэтому при аварии питания напряжение Ucc не исчезает сразу, а относительно медленно снижается. На начальном этапе этого процесса система продолжает работать, но в ее работе возможны ошибки. Желательно быстрее отреагировать на аварию питания. Это достигается с помощью схем (рис. 4.30, б).

Рисунок 8.3  Схемы подключения резервных источников питания к накопителям ЗУ (а, б)

Здесь нарушение нормальной работы источника питания обнаруживается контролем напряжения переменного тока (АС — Alternate Current). Нарушение можно выявить за один-два периода переменного напряжения, пока постоянное напряжение Ucc еще не изменилось. Признак нарушения AC_low служит запросом прерывания для процессора CPU. Получив запрос, процессор выполняет подпрограмму обслуживания прерывания A (Interrupt А), в ходе которого передает содержимое своих регистров в стек накопителя (выполняет так называемое контекстное переключение) и заканчивает подпрограмму установкой триггера Т, что воздействует на обмотку реле, управляющего ключом. В результате память подключается к резервному источнику.

При восстановлении нормального питания признак AC_norm вызывает программу обслуживания прерывания В, в ходе которой из стека возвращаются в процессор данные для регистров процессора и сбрасывается триггер, что ведет к подключению памяти к основному источнику питания.

Статические ЗУ типа БиКМОП

Триггерные ЗУ — одно из основных направлений применения БиКМОП-технологии, в которой стремятся объединить достоинства схем на основе биполярных приборов и МОП-структур. Применительно к SRAM это реализация триггеров на схемах КМОП, а цепей выдачи данных, имеющих значительную емкостную нагрузку, с которой элементы типа КМОП справляются плохо, на биполярной схемотехнике (ЭСЛ или TTJ1UJ). Повышенная сложность изготовления БиКМОП схем и их удорожание могут быть скомпенсированы более высоким их быстродействием, эффективной работой на длинные линии и другими факторам

На рис. 8.4 показана для примера ячейка двухпортового ЗУ с организацией 4Кх1 и временем доступа 4 нс. выполненная по БиКМОП-технопогии. Запоминающий триггер построен на транзисторах Т1...Т4. Его выход подключен к базе биполярного транзистора Т6, который совместно с опорным транзистором Т7, общим для всех ячеек столбца, образует схему токового переключателя, характерного для ЭСЛ и способного с большой скоростью коммутировать ток из одного плеча в другое. Показанный условно источник тока реально выполняется так же, как и в обычных схемах ЭСЛ. Возможность быстро формировать сигналы в нагруженных цепях линий записи-считывания позволяет сохранить быстродействие на уровне, соответствующем внутренним частям ЗУ, в которых КМОП-схемы работают в условиях малых нагрузок.

 

Рисунок 8.4 -  Схема ячейки статического ЗУ в схемотехнике БиКМОП.

Ячейка имеет две линии выборки — для чтения (ЛВчт) и для записи (ЛВзп). Это позволяет записывать данные в невыбренные для чтения элементы одновременно со считыванием из других элементов, что характерно для двухпортовой памяти. Питанием ячейки служит потенциал линии ЛВчт. В отсутствие выборки для чтения этот потенциал невысок и любые переключения триггера не могут настолько повысить потенциал базы Т6, чтобы он открылся. Запись данных производится сигналом Dbx при выборке ячейки по линии ЛВэп. Транзистор Т5 изготовляется как низкоомный, что позволяет ему диктовать состояние триггера.

Для чтения напряжение на линии ЛВчт повышают на 0,55 В. Если триггер хранит единицу, то ТЗ открыт, a Т4 заперт Так как при этом перепад напряжения на ЛВчт передается на базу Т6, он открывается, и ток I переключается из опорного транзистора Т7 в транзистор Т6. Напряжение на коллекторе Т7 повышается, что и служит входным сигналом чтения единицы для последующих каскадов усилителя чтения, обозначенных как УС. Если триггер хранит логический ноль то ТЗ заперт и Т4 открыт. Ясно, что в этом случае перепад напряжения на линии ЛВчт никак не повлияет на потенциал базы Т6, переключения тока I не возникнет и перепада выходного напряжения схемы не будет.

Выпускаемые статические RAM имеют большие различия как по разрядности и объему так и по числу (назначению) управляющих входов. Структурная схема статической RAM 65536 х 16/18 бит HM62W1664H/ HM62W1864H фирмы HITACHI представлена на рис. 8.5

Таблица 8.2

Назначение выводов HM62W1664H/ HM62W1864H

HM62W1664H HM62W1864H Функция
A0 – A15 A0 – A15 Адрес
I/O1 – IO/08 I/O1 – IO/09 Данные ввод/вывод(младший байт)
I/O9 – IO/16 I/O10 – IO/18 Данные ввод/вывод(старший байт)
CS CS Выбор кристалла
LB LB Выбор младшего байта
UB UB Выбор старшего байта
WE WE Разрешение записи
OE OE Разрешение вывода
Vcc Vcc питание
Vss Vss Земля

 

 Рисунок 8.5 Структурная схема микросхемы HM62W1664H/ HM62W1864H

 

    Режимы работы статической RAM HM62W1664H/ HM62W1864H представлены в Таблице 8.4.

                                          Таблица 8.4

Режимы работы HM62W1664H/ HM62W1864H

 

CS OE WE LB UB Vcc I/O(LB) I/O(UB) Функция
H X X X X Isb,Isb1 Z-состояние Z-состояние -
L H H X X Icc Z-состояние Z-состояние -
L L H L L Icc вывод вывод чтение
L L H L H Icc вывод Z-состояние чтение
L L H H L Icc Z-состояние вывод чтение
L L H H H Icc Z-состояние Z-состояние -
L X L L L Icc ввод ввод запись
L X L L H Icc ввод Z-состояние запись
L X L H L Icc Z-состояние ввод запись
L X L H H Icc Z-состояние Z-состояние -

 

 

Лекция № 9

 

ТЕМА: Организация ОЗУ динамического типа. Контроллер ОЗУ динамического типа.

Основные вопросы, рассматриваемые на лекции

1. Организация ОЗУ динамического типа

2. Элементы DRAM

3. Временные диаграммы работы DRAM

4. Наращивание разрядности и объема DRAM

5. Контроллер ОЗУ динамического типа.

6. Подключение контроллер DRAM к шинам МП

 

В динамических ЗУ (DRAM) данные хранятся в виде зарядов емкостей МОП-структур и основой ЗЭ является просто конденсатор небольшой емкости. Такой ЗЭ значительно проще триггерного, содержащего 6 транзисторов, что позволяет разместить на кристалле намного больше ЗЭ (в 4...5 раз) и обеспечивает динамическим ЗУ максимальную емкость. В то же время конденсатор неизбежно теряет со временем свой заряд, и хранение данных требует их периодической регенерации (через несколько миллисекунд)

Запоминающие элементы

Известны конденсаторные ЗЭ разной сложности. В последнее время практически всегда применяют однотранзисторные ЗЭ — лидеры компактности, размеры которых настолько малы, что на их работу стали влиять лаже α-частицы, излучаемые элементами корпуса ИС.

Рисунок 9.1 -  Схема и конструкция запоминающего элемента динамического ЗУ

Электрическая схема и конструкция однотранзисторного ЗЭ показаны на рис. 9.1. Ключевой транзистор отключает запоминающий конденсатор от линии записи-считывания или подключает его к ней. Сток транзистора не имеет внешнего вывода и образует одну из обкладок конденсатора. Другой обкладкой служит подложка. Между обкладками расположен тонкий слой диэлектрика — оксида кремния SiO2.

В режиме хранения ключевой транзистор заперт. При выборке данного ЗЭ на затвор подается напряжение, отпирающее транзистор. Запоминающая емкость через проводящий канал подключается к линии записи-считывания и в зависимости от заряженного или разряженного состояния емкости различно влияет на потенциал линии записи-считывания. При записи потенциал линии записи-считывания передается на конденсатор, определяя его состояние.

Процесс чтения состояния запоминающего элемента. Фрагмент ЗУ (рис. 9.2) показывает ЗЭ, усилитель считывания УС а также ключи К1 и КО соответственно записи единицы и нуля. К линии записи-считывания (ЛЗС) подключено столько ЗЭ, сколько строк имеется в запоминающей матрице. Особое значение имеет емкость ЛЗС Сл, в силу большой протяженности линии и большого числа подключенных к ней транзисторов многократно превышающая емкость ЗЭ.

 

Рисунок 9.2 -  Фрагмент схемы динамического ЗУ

Перед считыванием производится предзаряд ЛЗС. Имеются варианты ЗУ с предзарядом ЛЗС до уровня напряжения питания и до уровня его половины.

Рассмотрим последний вариант в силу его большей схемной простоты. Итак, перед считыванием емкость Сл заряжается до уровня Ucc/2. Будем считать, что хранение единицы соответствует заряженной емкости Сз, а хранение нуля — разряженной.

При считывании нуля к ЛЗС подключается емкость С3, имевшая нулевой заряд. Часть заряда емкости Сл перетекает в емкость С3, и напряжения на них уравниваются. Потенциал ЛЗС снижается на величину ∆U, которая и является сигналом, поступающим на усилитель считывания. При считывании единицы, напротив, напряжение на Сз составляло вначале величину Ucc и превышало напряжение на ЛЗС. При подключении С3 к ЛЗС часть заряда стекает с запоминающей емкости в Сл и напряжение на ЛЗС увеличивается на ∆U. Графики сигналов при считывании нуля и единицы показаны на рис. 9.3.

 

Рисунок 9.3 -  Временные диаграммы сигналов при считывании данных в динамических ЗУ

 

 

Значение ∆U нетрудно вычислить на основе анализа любого из процессов — считывания нуля или считывания единицы. Для считывания нуля справедливы следующие рассуждения. До выборки ЗЭ емкость ЛЗС имела заряд Q = СлUсс/2.

После выборки ЗЭ этот же заряд имеет суммарная емкость Сп + С3 и можно записать следующее соотношение:

Q = (Cл + C1)(Ucc/2- ∆U)

приравнивая выражения для одного и того же значения заряда Q, получим соотношение

СлUсс/2 = (Сл + С3) (Ucc/2 - ∆U ), из которого следует выражение

∆U = UCCC3/[2(C3 + Сл)] » иссСз/2Сл. В силу неравенства Сз ~ Сл сигнал ∆U оказывается слабым. Кроме того, считывание является разрушающим — подключение запоминающей емкости к ЛЗС изменяет ее заряд. Мерами преодоления отмеченных недостатков служат способы увеличения емкости С3 (без увеличения площади ЗЭ), уменьшения емкости ЛЗС и применение усилителей-регенераторов для считывания данных.

В направлении увеличения С3 можно указать разработку фирмой Сименс нового диэлектрика (двуокиси титана ТiO2), имеющего диэлектрическую постоянную в 20 раз большую, чем SiO2. Это позволяет при той же емкости сократить площадь ЗЭ почти в 20 раз или увеличить С3 даже при уменьшении ее плошали. Имеются и варианты с введением в ЗЭ токоусиливаюших структур, что также эквивалентно увеличению емкости ЗЭ.

Уменьшения емкости ЛЗС можно достичь "разрезанием" этой линии на две половины с включением дифференциального усилителя считывания в разрыв между половинами ЛЗС (рис. 9.4, а). Очевидно, что такой прием вдвое уменьшает емкость линий, к которым подключаются запоминающие емкости, т. е. вдвое увеличивает сигнал ∆U.

 

            

Рисунок 9.4 -  Схема включения усилителя-регенератора в разрыв линии записи-считывания динамического ЗУ (а) и вариант схемной реализации усилителя-регенератора (б)

При больших объемах ОЗУ динамического типа (ДТ) регенерация каждой ячейки занимает значительный интервал времени. Для уменьшения этого интервала регенерация выполняется одновременно над содержимым массива ячеек (над строкой или столбцом матрицы запоминающих ячеек). Для адресации столбца или строки используется адрес, половинной разрядности. Адрес чтения или записи полной разрядности передается последовательно во времени – сначала младшие разряды, а затем старшие. Для хранения этого адреса используется внутренний регистр адреса, а для фиксации адресов в регистре раздельные входы выборки строк и столбцов.

    БИС ОЗУ ДТ объемом Ni и разрядностью ni имеет m/2 = (log2N)/2 адресных линий, входы выборки строки RAS (Row Address Select), столбца CAS (Column Address Select), входы/выходы данных DI(ni)/DO(ni) и вход разрешения записи WE рис. 16.

 

Рисунок 9.5 -  Назначение выводов ОЗУ динамического типа

Временные соотношения сигналов на входах, выходах ОЗУ ДТ показаны на рис. 9.6.

На DRAM сначала поступает адрес строки, который фиксируется в адресном регистре по сигналу RAS, а затем адрес столбца, который запоминается в адресном регистре по сигналу CAS. После этого микросхема памяти принимает или выдает данные под управлением сигнала на входе запись/чтение по сигналу WE. Наращивание разрядности DRAM представлено на рис. 9.7. Наращивание объема DRAM представлено на рис. 9.8. Для регенерации ОЗУ динамического типа, мультиплексирования адресов при выполнении операции записи/чтения ОЗУ, арбитража доступа к ОЗУ используются контроллеры ОЗУ динамического типа рис. 20. Обычно контроллер выполняет также функции дешифратора блоков ОЗУ и формирователя сигналов записи/чтения микросхем DRAM. Он содержит счетчик адресов регенерации, мультиплексоры адресов доступа к ОЗУ и адресов ОЗУ, блок синхронизации и управления, обеспечивающий арбитраж и управление доступом к ОЗУ. Блок синхронизации и управления содержит таймер регенерации, определяющий длительность цикла регенерации строки и столбца ОЗУ. Арбитр управляет доступом к ОЗУ со стороны счетчика регенерации или от системной шины. Обычно доступ имеет счетчик регенерации, а доступ со стороны системной шины разрешается по окончании очередного цикла регенерации. Сигнал с выхода XACK на разрешение доступа от системной шины и используется для управления

 

Рисунок 9.6 - Временные диаграммы работы ОЗУ ДТ.

 

Рисунок 9.7 - Наращивание разрядности DRAM

 

Рисунок 9.8 - Наращивание объема DRAM

 

Рисунок 9.9 - Структурная схема контроллера динамической памяти.

Готовностью процессора. Временные диаграммы работы формирует контроллер ОЗУ динамического типа. DRAM сопрягается с системной шиной рис.9.10 согласованием

 

 

        кварц

 

 

Рисунок 9.10 - Функциональная схема сопряжения DRAM с системной шиной.

временных диаграмм работы контроллера с временными диаграммами работы системной шины. Основными параметрами ОЗУ динамического типа при этом являются выборки адреса ТАА и цикла TCY. Схема модуля DRAM содержит L = N/Ni блоков памяти, выбираемых сигналом выборки строк с выходов RAS контроллера ОЗУ динамического типа. Для сопряжения ОЗУ динамического типа с двунаправленной шиной данных используется буферный регистр данных (БР).

 

 

            

 

Лекция № 10

 

ТЕМА:  Однокристальные микроконтроллеры. Микроконтроллеры MCS – 51

Основные вопросы, рассматриваемые на лекции

1. Структура MCS – 51. Назначение блоков контроллера.

2. Работа с внешни ПЗУ

3. Работа с внешни ОЗУ

4. Семейство MCS – 51

        Несмотря на непрерывное развитие и появление все новых и новых 16- и 32-разрядных микроконтроллеров и микропроцессоров, наи­большая доля мирового микропроцессорного рынка остается за 8-разрядными устройствами. По всем прогнозам аналитических компаний на ближайшие 5 лет лидирующее положение 8-разрядных микрокон­троллеров на мировом рынке сохранится.
       Среди всех 8-разрядных микроконтроллеров - семейство 8051 является несомненным чемпионом по количеству разновидностей и количеству компаний, выпускающих его модификации. Важную роль в достижении такой высокой популярности семейства 8051 сыграла открытая политика фирмы Intel, родоначальницы архитектуры, направленная на широкое распространение лицензий на ядро 8051 среди большого количества ведущих полупроводниковых компаний мира.

В результате на сегодняшний день существует более 200 модифи­каций микроконтроллеров семейства 8051, выпускаемых почти 20-ю компаниями. Эти модификации включают в себя кристаллы с широчай­шим спектром периферии: от простых 20-выводных устройств с одним таймером и 1К программной памяти до сложнейших 100-выводных кристаллов с 10-разрядными АЦП, массивами таймеров-счетчиков, аппаратными 16-разрядными умножителями и 64К программной памяти на кристалле. Каждый год появляются все новые варианты представите­лей этого семейства.                 Основными направлениями развития являются: увеличение быстродействия (повышение тактовой частоты и переработ­ка архитектуры), снижение напряжения питания и потребления, увеличение объема ОЗУ и FLASH памяти на кристалле с возможностью внутрисхемного программирования, введение в состав периферии микроконтроллера сложных устройств типа системы управления приводами, CAN и USB интерфейсов и т.п.
         Основным производителями клонов 51-го семейства в мире являются фирмы Philips, Siemens, Intel, Atmel, Dallas, Temic, Oki, AMD, MHS, Gold Star, Winbond, Silicon Systems и ряд других
        Структура схема микроконтроллера 80C51 представлена на рис.10.1. В его состав входят:

– арифметико-логическое устройство ALU; аккумулятор ACC; регистр B; два регистра временного хранения данных (TMP1 and TMP2); регистр слово-состояние программы PSW (Program Status Word);

– блоки прерываний, последовательного порта и таймера (Interrupt, Serial Port, and Timer Blocks); тактовый генератор Osc (Oscillator); устройство управления и синхронизации (Timing and Control);

– регистр команд (Instruction Register); указатель стека SP (Stack Pointer); буфер (Buffer); счетчик команд PC (Program Counter); инкрементер счетчика команд (PC Incrementer); регистр указателя данных (DPTR – Data Pointer Register); регистр адреса программы PAR (Program Address Register);

– оперативное запоминающее устройство RAM (Random Access Memory) с регистром адресации ОЗУ (RAM Address Register); постоянное запоминающее устройство ROM (Read Only Memory) или перепрограммируемое постоянное запоминающее устройство EPROM (Electronic Programming Read Only Memory);

– четыре однобайтовых порта ввода-вывода P0, P1, P2 и P3; каждый порт включает в себя буфер порта с формирователем PD (Port Drivers) и защелку порта PL (Port Latch).

 

 

    Рисунок 10.1 - Структурная схема микроконтроллера 80С51

Карта области встроенной в микроконтроллер оперативной памяти, называемой областью регистров специальных функций (Special Function Registers –SFR), представлена на рис.10.2.

Рисунок 10.2- Область регистров специальных функций микроконтроллера

 

Рассмотрим функции регистров специальных функций SFR базового микроконтроллера 80С51.

Аккумулятор. Регистр АСС является аккумулятором. Команды, которые обращается к аккумулятору, для его обозначения используют мнемонику A.

Регистр В. Регистр В используется как источник или как приемник во время операций умножения и деления. Для других команд он может использоваться как еще один свободный рабочий регистр.

Слово - состояние программы PSW . Регистр слово - состояние программы содержит информацию о состоянии программы как это показано на рис.10.3. и в таблице 10.1.

Рисунок 10.3 - Регистр слово - состояние программы PSW




Таблица 10.1

Дата: 2019-03-05, просмотров: 1582.